中國(guó)集成電路封裝產(chǎn)業(yè)深度剖析

時(shí)間:2018-06-15

來(lái)源:網(wǎng)絡(luò)轉(zhuǎn)載

導(dǎo)語(yǔ):隨著物聯(lián)網(wǎng)時(shí)代到來(lái),下游電子產(chǎn)品對(duì)芯片的體積要求更加苛刻,同時(shí)要求芯片的功耗越來(lái)越低,這些都對(duì)集成電路封裝技術(shù)提出了更高的要求,先進(jìn)的封裝技術(shù)能夠節(jié)約PCB板上空間并降低集成電路功耗,將在下游電子產(chǎn)品需求驅(qū)動(dòng)下快速發(fā)展。中國(guó)優(yōu)秀的封裝企業(yè)在BGA、WLCSP、Bumping、FC、TSV、SiP等先進(jìn)封裝領(lǐng)域布局完善,緊跟市場(chǎng)對(duì)封裝行業(yè)的需求,有能力承接全球集成電路產(chǎn)業(yè)的訂單轉(zhuǎn)移。

【中國(guó)集成電路封裝產(chǎn)業(yè)深度剖析】隨著物聯(lián)網(wǎng)時(shí)代到來(lái),下游電子產(chǎn)品對(duì)芯片的體積要求更加苛刻,同時(shí)要求芯片的功耗越來(lái)越低,這些都對(duì)集成電路封裝技術(shù)提出了更高的要求,先進(jìn)的封裝技術(shù)能夠節(jié)約PCB板上空間并降低集成電路功耗,將在下游電子產(chǎn)品需求驅(qū)動(dòng)下快速發(fā)展。中國(guó)優(yōu)秀的封裝企業(yè)在BGA、WLCSP、Bumping、FC、TSV、SiP等先進(jìn)封裝領(lǐng)域布局完善,緊跟市場(chǎng)對(duì)封裝行業(yè)的需求,有能力承接全球集成電路產(chǎn)業(yè)的訂單轉(zhuǎn)移。

產(chǎn)業(yè)轉(zhuǎn)移,中國(guó)集成電路前景看好

集成電路是現(xiàn)代電子計(jì)算機(jī)技術(shù)的基石,自從1946年全球第一臺(tái)電子管計(jì)算機(jī)誕生以來(lái),電子計(jì)算技術(shù)革命性的改變了人類信息處理的方式。分立器件組成的電子運(yùn)算系統(tǒng)體積龐大,適用范圍有限,集成電路是一種微型電子器件,將一個(gè)電路中的晶體管、電阻、電容等器件制作在一個(gè)晶片上,使得體積小型化,其發(fā)展深刻的影響了人類社會(huì)的發(fā)展進(jìn)程。

半導(dǎo)體一般包括集成電路、分立元器件、傳感器、光電子等范疇,其中集成電路是半導(dǎo)體行業(yè)的核心,占據(jù)了半導(dǎo)體銷量的超過(guò)80%。全球集成電路行業(yè)呈現(xiàn)周期性和成長(zhǎng)性雙重特點(diǎn),一方面,集成電路行業(yè)受到宏觀經(jīng)濟(jì)的影響,另一方面受到下游電子產(chǎn)品創(chuàng)新周期的影響,因此總體上呈現(xiàn)螺旋式上升的趨勢(shì)。根據(jù)全球半導(dǎo)體貿(mào)易統(tǒng)計(jì)組織數(shù)據(jù),2015年全球集成電路市場(chǎng)容量達(dá)到2850億美元,對(duì)應(yīng)從2004年到2015年的復(fù)合增長(zhǎng)率為4.36%。

全球集成電路市場(chǎng)容量(百萬(wàn)美元)

近年來(lái),由于亞太特別是中國(guó)地區(qū)消費(fèi)電子市場(chǎng)的崛起,以及人工成本方面的優(yōu)勢(shì),使得近5年來(lái)集成電路產(chǎn)業(yè)重心持續(xù)向中國(guó)轉(zhuǎn)移。根據(jù)美國(guó)半導(dǎo)體產(chǎn)業(yè)協(xié)會(huì)數(shù)據(jù)顯示,亞太地區(qū)(不含日本)半導(dǎo)體銷售額從2009年1月的全球占比47.52%提升到2015年5月的60.85%??梢钥吹浇陙?lái)亞太區(qū)域半導(dǎo)體持續(xù)呈現(xiàn)供銷兩旺的局面,全球半導(dǎo)體產(chǎn)業(yè)呈現(xiàn)明顯的向亞太地區(qū)轉(zhuǎn)移的趨勢(shì)。

半導(dǎo)體銷售額亞太區(qū)占比

根據(jù)中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)數(shù)據(jù)顯示,2014年我國(guó)集成電路行業(yè)市場(chǎng)規(guī)模達(dá)到3015.4億元人民幣。受益于中國(guó)下游消費(fèi)電子等產(chǎn)業(yè)的高速發(fā)展,中國(guó)市場(chǎng)過(guò)去10年集成電路規(guī)模實(shí)現(xiàn)了18.65%的復(fù)合年化增長(zhǎng)率。其中封裝行業(yè)市場(chǎng)規(guī)模達(dá)到1255.9億元人民幣,占集成電路市場(chǎng)規(guī)模的41.65%。2015年集成電路產(chǎn)業(yè)銷售收入達(dá)到3500億元,年增長(zhǎng)率達(dá)到18%,繼續(xù)保持高速成長(zhǎng)。

中國(guó)集成電路市場(chǎng)規(guī)模(億元)

全球視角來(lái)看,半導(dǎo)體行業(yè)景氣程度取決于下游需求。過(guò)去10余年間,臺(tái)式電腦、便攜式電腦、智能手機(jī)驅(qū)動(dòng)了半導(dǎo)體行業(yè)的長(zhǎng)周期成長(zhǎng)。站在當(dāng)前時(shí)間節(jié)點(diǎn)看,全球智能手機(jī)增速放緩,根據(jù)IDC統(tǒng)計(jì),2014年全球手機(jī)市場(chǎng)規(guī)模大約在18.9億,智能手機(jī)銷售12.8億,滲透率70%。

2015年全球智能終端銷量預(yù)計(jì)仍有同比20%的增長(zhǎng),但考慮到終端總規(guī)模,預(yù)計(jì)智能終端替代已接近尾聲,未來(lái)終端市場(chǎng)更多的可能出現(xiàn)周期性。展望未來(lái),物聯(lián)網(wǎng)是支撐半導(dǎo)體行業(yè)發(fā)展的新引擎。

2005年11月國(guó)際電信聯(lián)盟(ITU)發(fā)布了報(bào)告正式提出了物聯(lián)網(wǎng)(IoT)概念,引起了世界各國(guó)的廣泛關(guān)注。物聯(lián)網(wǎng)是指世界上所有的物體都可以通過(guò)網(wǎng)絡(luò)主動(dòng)進(jìn)行信息交換,實(shí)現(xiàn)任何時(shí)間、任何地點(diǎn)、任何物體之間的互聯(lián)。在萬(wàn)物互聯(lián)時(shí)代,信息鏈接不再局限于人與人(H2H)之間的鏈接,人與物(H2T)的互聯(lián),物與物(T2T)的互聯(lián)將成為未來(lái)的發(fā)展方向,物聯(lián)網(wǎng)被認(rèn)為是繼計(jì)算機(jī)、互聯(lián)網(wǎng)之后,世界信息產(chǎn)業(yè)的第三次浪潮。

隨著IC集成電路成本降低,嵌入式系統(tǒng)在飛機(jī)、汽車、家電、工業(yè)裝置、醫(yī)療器械、監(jiān)控裝置和日用物品等廣泛的物理設(shè)備中可以得到應(yīng)用,這些物理聯(lián)網(wǎng)設(shè)備系統(tǒng)(cyberphysicalsystem)是物聯(lián)網(wǎng)的基礎(chǔ)設(shè)施和表現(xiàn)形式。基于人人、人物、物物連接能力構(gòu)建萬(wàn)物互聯(lián),需要無(wú)源、有源、互聯(lián)網(wǎng)三類物聯(lián)網(wǎng)節(jié)點(diǎn)。無(wú)源結(jié)點(diǎn)是具有電子標(biāo)簽的物品,這是物聯(lián)網(wǎng)中數(shù)量最多的結(jié)點(diǎn)。

無(wú)源結(jié)點(diǎn)一般不帶電源,可以具有移動(dòng)性,具有被感知能力和少量的數(shù)據(jù)存儲(chǔ)能力,不具備計(jì)算和聯(lián)網(wǎng)能力,提供被動(dòng)的連接能力。有源結(jié)點(diǎn)是具備感知、聯(lián)網(wǎng)和控制能力的嵌入式系統(tǒng),這是物聯(lián)網(wǎng)的核心結(jié)點(diǎn)。這類設(shè)備是當(dāng)前快速發(fā)展的領(lǐng)域,例如帶有聯(lián)網(wǎng)功能和數(shù)據(jù)收集功能的智能手環(huán)、具有遠(yuǎn)程操控功能的家用空調(diào)等。

互聯(lián)網(wǎng)結(jié)點(diǎn)具備聯(lián)網(wǎng)和控制能力的計(jì)算系統(tǒng),可以認(rèn)為是物聯(lián)網(wǎng)的計(jì)算中心。作為一個(gè)中心節(jié)點(diǎn),互聯(lián)網(wǎng)節(jié)點(diǎn)需要有不間斷的電源,高運(yùn)行可靠性,起到網(wǎng)絡(luò)調(diào)度、控制、信息存儲(chǔ)、大型計(jì)算等作用。

物聯(lián)網(wǎng)節(jié)點(diǎn)類型

而物聯(lián)網(wǎng)帶來(lái)了封裝產(chǎn)業(yè)的改變,并給中國(guó)帶來(lái)了新的機(jī)遇。

封裝產(chǎn)業(yè)首先突圍

前面提到,隨著產(chǎn)業(yè)轉(zhuǎn)移和技術(shù)轉(zhuǎn)移,中國(guó)封裝企業(yè)有了新的機(jī)遇,而其實(shí)目前我國(guó)封裝企業(yè)已經(jīng)具備一定的國(guó)際競(jìng)爭(zhēng)力,在國(guó)際前20的封裝企業(yè)中,我國(guó)擁有3席。封裝行業(yè)集中度比較高,前5名占有50%以上的市場(chǎng)份額,隨著長(zhǎng)電科技收購(gòu)星科金朋,前5名的集中度將進(jìn)一步提高。

2015年全球封測(cè)市場(chǎng)主要廠商營(yíng)收及份額

以2015年?duì)I收計(jì)并購(gòu)后三巨頭市場(chǎng)份額

在集成電路產(chǎn)業(yè)中,封裝產(chǎn)業(yè)相比晶元制造的資本開支要求低很多,根據(jù)萬(wàn)得數(shù)據(jù),2015年全球半導(dǎo)體設(shè)備開支達(dá)到410億美元,其中晶元制造業(yè)開支337億,占比82%,封裝測(cè)試業(yè)開支72億,占比18%。封裝測(cè)試由于對(duì)于資本開支需求相對(duì)較小,更易于率先發(fā)展。

實(shí)際上,我們可以看到過(guò)去10年中國(guó)封測(cè)領(lǐng)域占據(jù)集成電路超過(guò)40%的市場(chǎng),而從全世界的視角看,集成電路產(chǎn)業(yè)價(jià)值鏈中,芯片設(shè)計(jì)、晶圓制造、封裝測(cè)試的占比大約為3∶4∶3,這種先發(fā)優(yōu)勢(shì)決定了集成電路產(chǎn)業(yè)領(lǐng)域的國(guó)產(chǎn)替代必然從封裝領(lǐng)域開始,從大陸產(chǎn)業(yè)鏈優(yōu)勢(shì)來(lái)看,封裝離下游模組更近,同樣有利于優(yōu)先替代。從而未來(lái)將以芯片設(shè)計(jì)和封裝的產(chǎn)業(yè)集群優(yōu)勢(shì)帶動(dòng)重資產(chǎn)的芯片制造追趕國(guó)際先進(jìn)水平。

另一方面,對(duì)于設(shè)計(jì)公司,轉(zhuǎn)換晶元制造的foundry需要重制掩膜板、試產(chǎn)及調(diào)整良率等諸多工程,不同晶圓廠的設(shè)計(jì)庫(kù)也不盡相同,一般需要客戶和晶元廠深度合作,也需要比較長(zhǎng)的轉(zhuǎn)產(chǎn)周期。而封裝廠轉(zhuǎn)產(chǎn)相對(duì)簡(jiǎn)單,不需要客戶進(jìn)行大量工作,更容易發(fā)揮大陸產(chǎn)業(yè)鏈的成本優(yōu)勢(shì)。《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》中明確了2020年封裝測(cè)試技術(shù)達(dá)到國(guó)際領(lǐng)先水平,2030年成電路產(chǎn)業(yè)鏈主要環(huán)節(jié)達(dá)到國(guó)際先進(jìn)水平。封裝引領(lǐng)率先突圍的路線圖非常清晰。

集成電路資本設(shè)備開支(百萬(wàn)美元)

因此我們認(rèn)為,封裝行業(yè)未來(lái)成長(zhǎng)有三大邏輯支撐,第一是萬(wàn)物互聯(lián)時(shí)代對(duì)集成電路巨大的需求;第二是中國(guó)電子下游產(chǎn)品產(chǎn)業(yè)集群建立所引發(fā)的封裝行業(yè)國(guó)產(chǎn)化替代趨勢(shì);第三是中國(guó)政府在產(chǎn)業(yè)升級(jí)過(guò)程中,給予集成電路產(chǎn)業(yè)的巨大支持。這三點(diǎn)決定了未來(lái)中國(guó)封裝行業(yè)可以相對(duì)較少的受到周期性影響,保持長(zhǎng)周期景氣。

受國(guó)家集成電路產(chǎn)業(yè)扶持政策拉動(dòng),至2020年,國(guó)內(nèi)IC產(chǎn)業(yè)將保持CAGR20%增長(zhǎng)率。同時(shí),本土IC封測(cè)企業(yè)全球并購(gòu)活動(dòng)加強(qiáng),不僅帶來(lái)大量先進(jìn)封測(cè)技術(shù)與知識(shí)產(chǎn)權(quán),也使得國(guó)際客戶逐漸轉(zhuǎn)向大陸。外加近年國(guó)際IC封裝巨頭安靠等在大陸的大量投資,我國(guó)IC先進(jìn)封裝產(chǎn)業(yè)預(yù)計(jì)將以CAGR不低于18%增速保持增長(zhǎng),預(yù)計(jì)由2016年產(chǎn)量41.5億片增至2018年57億片。2020年,國(guó)內(nèi)先進(jìn)封裝行業(yè)規(guī)模將達(dá)到46億美元。

因此發(fā)展國(guó)內(nèi)封裝產(chǎn)業(yè)勢(shì)在必行。

先進(jìn)封裝技術(shù)制程企業(yè)全球競(jìng)爭(zhēng)力

隨著消費(fèi)電子的發(fā)展,手機(jī)、PAD、筆記本越來(lái)越強(qiáng)調(diào)小型化,正在興起的可穿戴設(shè)備對(duì)體積要求更加苛刻。另一方面,物聯(lián)網(wǎng)時(shí)代對(duì)芯片功耗要求更低,這些都對(duì)集成電路封裝技術(shù)提出了更高的要求,先進(jìn)的封裝技術(shù)能夠節(jié)約PCB板上空間并降低集成電路功耗,將在消費(fèi)電子和物聯(lián)網(wǎng)的兩方面驅(qū)動(dòng)下,快速發(fā)展。中國(guó)企業(yè)在先進(jìn)封裝領(lǐng)域的布局能夠有效支撐企業(yè)承接全球集成電路重心轉(zhuǎn)移趨勢(shì),幫助企業(yè)長(zhǎng)期成長(zhǎng)。下文我們梳理了核心的先進(jìn)封裝技術(shù)。

首先我們要了解一下封裝技術(shù)的流程。

集成電路的制造流程包括芯片設(shè)計(jì)、晶元制造、封裝測(cè)試三個(gè)環(huán)節(jié)。在產(chǎn)業(yè)鏈上,封裝位于晶元制造的下游環(huán)節(jié),處于模組制造的上游環(huán)節(jié)。封裝可以認(rèn)為是集成電路生產(chǎn)過(guò)程的最后一道工序,是指將芯片(Die)在不同類型的框架或者基板上布局、粘合固定連接,引出接線端子并通過(guò)塑封料(EMC)固定形成不同外形的封裝體的一種工藝。

封裝在集成電路制造產(chǎn)業(yè)鏈中的位置

封裝主要有四方面的作用

第一,是起到保護(hù)芯片的作用,通過(guò)晶圓制造廠制造的裸晶非常脆弱,需要在無(wú)塵室的環(huán)境下生產(chǎn),對(duì)溫度濕度灰塵密度以及靜電都有嚴(yán)格的要求,才能保證芯片不會(huì)失效。但是芯片的使用環(huán)境遠(yuǎn)為復(fù)雜,因此需要封裝來(lái)保護(hù)芯片。

第二,封裝能夠?qū)π酒鸬街巫饔?,使得器件整體強(qiáng)度提高不易損壞。

第三,封裝工藝負(fù)責(zé)將芯片電路和外部引腳連通。

第四,封裝為芯片工作提高可靠性環(huán)境,保障芯片使用壽命。

封裝的內(nèi)部結(jié)構(gòu)

封裝可以按照使用的封裝材料進(jìn)行分類,分為金屬封裝、陶瓷封裝、塑料封裝,其中商用95%以上的產(chǎn)品都是用塑料封裝。從和PCB板焊接關(guān)系上講,封裝又可以分為PTH(通孔式)封裝和SMT(表面貼裝式)封裝,目前絕大多數(shù)產(chǎn)品使用表面貼裝封裝。從封裝的類型上又可以分為DIP、SOT、QFN、LCC、TSSOP、QFP、BGA等封裝技術(shù),不同封裝類型的根本差別在于引腳數(shù)。量的多少以及封裝效率的高低。

一個(gè)芯片的封裝,一般要經(jīng)過(guò)多道工序,首先需要將晶圓廠出廠的晶元(wafer)正面電路區(qū)域貼膠帶保護(hù),進(jìn)行背面研磨,一般需要減薄到200-350uM;然后將晶元切割成一片片獨(dú)立的芯片(Die),并進(jìn)行粉塵清洗工作;

第三步裝片(diebonding)是將芯片利用銀漿等粘結(jié),裝配到框架上;然后進(jìn)行鍵合(wirebonding)工作,利用金絲將芯片的點(diǎn)擊與框架的引腳連接起來(lái);再用專用模具,在一定壓力和溫度的條件下用塑封樹脂把鍵合后的半成品封裝保護(hù)起來(lái),并在成品上打印上標(biāo)記;

最后經(jīng)過(guò)成品測(cè)試剔除不良品得到出廠成品。隨著封裝技術(shù)的進(jìn)步,封裝的工藝流程也出現(xiàn)了一些變化,采用不同工藝的產(chǎn)品在具體的封裝流程上也會(huì)有所不同。

封裝的流程

封裝技術(shù)的演進(jìn)方向

隨著集成電路的復(fù)雜化,單位體積信息的提高和單位時(shí)間處理速度的越來(lái)越高,隨之而來(lái)的是封裝產(chǎn)品引腳數(shù)的提高。另一方面電子產(chǎn)品小型化的發(fā)展趨勢(shì)十分明確,這種市場(chǎng)需求對(duì)電路封裝技術(shù)提出了相應(yīng)的要求,不再滿足于封裝原有的保護(hù)、支撐、連通等功能,而是越發(fā)強(qiáng)調(diào)封裝產(chǎn)品在單位體積或者面積內(nèi)可以承載的芯片大小以及數(shù)量。

一般而言,衡量一個(gè)芯片封裝技術(shù)先進(jìn)與否的重要指標(biāo)是芯片面積與封裝面積之比,這個(gè)比值越接近1越好。我們可以看到,iPhone6中采用了刀把板式的電路設(shè)計(jì),最大程度的壓縮PCB板大小,板上空間十分緊張,同時(shí)蘋果采用了3D封裝中的POP封裝將DRAM和A8處理器封裝在一起,由圖可見(jiàn),如果DRAM單獨(dú)封裝,將很大程度上影響PCB板設(shè)計(jì)。

因此,電子產(chǎn)品小型化屬于下游強(qiáng)需求,必將驅(qū)動(dòng)先進(jìn)封裝技術(shù)的快速發(fā)展,擁有先進(jìn)封裝技術(shù)的公司也將占有市場(chǎng)優(yōu)勢(shì)。

iPhone6電路板

半導(dǎo)體技術(shù)發(fā)展經(jīng)歷了分立器件、通孔插裝、表面貼裝、BGA封裝幾個(gè)發(fā)展階段,未來(lái)將向更高封裝效率的3D封裝等技術(shù)演進(jìn)?!秶?guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》中明確提出了封裝領(lǐng)域發(fā)展方向包括了芯片級(jí)封裝(CSP)、圓片級(jí)封裝(WLP)、硅通孔(TSV)、三維封裝等先進(jìn)封裝和測(cè)試技術(shù)的開發(fā)及產(chǎn)業(yè)化。先進(jìn)封裝必將代表產(chǎn)業(yè)發(fā)展方向。

封裝技術(shù)概覽

下面我們來(lái)了解一下幾種先進(jìn)封裝技術(shù):

下游掌握WLCSP-TSV封測(cè)技術(shù)的廠商

(1)WLCSP封裝

CSP(ScalePackagingTechnology)封裝是一種比BGA封裝效率更高的封裝形式,日本電子工業(yè)協(xié)會(huì)對(duì)CSP規(guī)定是芯片面積與封裝尺寸面積之比大于80%。

因此CSP的封裝效率可達(dá)1:1.14。比起B(yǎng)GA封裝,其管腳中心距更小,BGA一般在1.0mm到1.27mm,而CSP一般小于0.8mm。引腳數(shù)相同的封裝,CSP可以做到BGA封裝的三分之一大小。

CSP封裝不但體積小,同時(shí)也更薄,其金屬基板到散熱體的最有效散熱路徑僅有0.2mm,大大提高了芯片在長(zhǎng)時(shí)間運(yùn)行后的可靠性,線路阻抗顯著減小,芯片速度也隨之得到大幅度的提高。

CSP封裝的電氣性能和可靠性也比BGA、TSOP有相當(dāng)大的提高。晶元級(jí)封裝(Wafer-LevelChipScalePackagingTechnology)是CSP的一種實(shí)現(xiàn)方式,指不同于傳統(tǒng)的晶片先切割再封測(cè)的制造流程,而是在晶元制造后直接在晶元上進(jìn)行封裝和測(cè)試,最后再劃線分割,因此封裝后體積與IC裸芯片尺寸幾乎相同,而傳統(tǒng)的封裝方式封裝后比裸晶尺寸增加20%。

WLCSP封裝

晶元級(jí)封裝除了封裝尺寸小外,其信息傳輸路徑變短,IC到PCB間的電感很小,提高了穩(wěn)定性。由于晶圓級(jí)封裝不需要傳統(tǒng)密封的塑膠或陶瓷封裝,因此在IC運(yùn)算時(shí)熱量能夠有效散出,有助于解決小型電子產(chǎn)品發(fā)熱量過(guò)高的問(wèn)題。

封裝流程比較

(2)3D封裝技術(shù)

3D封裝技術(shù)是指在不改變封裝體尺寸的前提下,在同一個(gè)封裝體內(nèi)于垂直方向疊放兩個(gè)以上芯片的封裝技術(shù),它起源于快閃存儲(chǔ)器(NOR/NAND)及SDRAM的疊層封裝。目前常用的3D封裝包括了POP、TSV等形式。

PoP是PackageonPackage的縮寫,為封裝體疊層技術(shù)。在邏輯電路和存儲(chǔ)器集成領(lǐng)域,封裝體疊層(PoP)已經(jīng)成為業(yè)界的首選,主要用于制造高端便攜式設(shè)備和智能手機(jī)使用的先進(jìn)移動(dòng)通訊平臺(tái)。

與此同時(shí),PoP技術(shù)也在移動(dòng)互聯(lián)網(wǎng)設(shè)備、便攜式媒體播放器等領(lǐng)域找到了應(yīng)用。這些應(yīng)用帶來(lái)了對(duì)PoP技術(shù)的巨大需求,而PoP也支持了便攜式設(shè)備對(duì)復(fù)雜性和功能性的需求。像應(yīng)用處理器或基帶/應(yīng)用存儲(chǔ)器組合這樣的核心部件,其主要的生產(chǎn)企業(yè)都已經(jīng)或計(jì)劃使用PoP解決方案。例如上文提到的蘋果iPhone6就采用了POP封裝。

未來(lái)3D封裝的演進(jìn)方向是硅通孔(TSV)技術(shù),是通過(guò)在晶圓和晶圓之間制作垂直導(dǎo)通,實(shí)現(xiàn)芯片之間互連的技術(shù)。與以往的IC封裝鍵合和使用凸點(diǎn)的倒裝技術(shù)不同,TSV能夠使芯片在三維方向堆疊的密度最大,外形尺寸最小,并且大大改善芯片速度和低功耗的性能。芯片堆疊是各種不同類型的電路互相混合的最佳手段,例如將存儲(chǔ)器直接堆疊在邏輯器件上方。

由于TSV工藝的內(nèi)連接長(zhǎng)度是幾種工藝中最短的,可以減小信號(hào)傳輸過(guò)程中的寄生損失和縮短時(shí)間延遲。同時(shí)節(jié)能也是TSV的特色之一,據(jù)測(cè)試TSV最多可將硅鍺芯片的功耗降低大約40%。

TSV與傳統(tǒng)工藝對(duì)比

(3)SiP封裝

SiP(systeminpackage)封裝是未來(lái)發(fā)展趨勢(shì),其指的是針對(duì)超過(guò)一種以上之不同功能的主動(dòng)電子組件,可以選擇性地與被動(dòng)組件,或者其它組件封裝在一起,進(jìn)而提供多重功能。

從概念上講,SiP封裝并不特指一種封裝技術(shù),而是一種封裝形式,它和SOC在設(shè)計(jì)初衷上有著共同的出發(fā)點(diǎn):在有限空間上整合多項(xiàng)集成電路功能。

SOC即系統(tǒng)級(jí)芯片,在一個(gè)芯片上集成數(shù)字電路、模擬電路、RF、存儲(chǔ)器和接口電路等多種電路,以實(shí)現(xiàn)圖像處理、語(yǔ)音處理、通訊功能和數(shù)據(jù)處理等多種功能,是從設(shè)計(jì)角度進(jìn)行這項(xiàng)工作。

然而SOC面臨芯片設(shè)計(jì)研發(fā)周期過(guò)長(zhǎng),不同制程整合不易的問(wèn)題。而SiP系統(tǒng)級(jí)封裝從封裝角度同樣能夠?qū)崿F(xiàn)在一個(gè)封裝體中集成多項(xiàng)集成電路功能。

SiP可采用市售的商用電子元器件,降低產(chǎn)品制造成本;其開發(fā)到上市的周期短,風(fēng)險(xiǎn)小;可采用混合設(shè)計(jì)技術(shù),為客戶帶來(lái)靈活性,因此SiP被認(rèn)為是繼DIP、SMT、BGA后的第4次封裝革命。因此我們認(rèn)為SiP技術(shù)將是未來(lái)一段時(shí)間封裝廠商重點(diǎn)投入的技術(shù)領(lǐng)域。SiP技術(shù)內(nèi)涵比較豐富,MCM、POP、PIP、TSV技術(shù)都被用于SiP封裝。

SiP封裝分類

SiP封裝強(qiáng)調(diào)目的,并不要求一定形態(tài),就芯片排列方式而言,其可以是平面2D式的多芯片模組,也可以是3D式的封裝;鍵合既可以使用金屬線鍵合,也可以使用倒裝芯片方式安裝。其中倒裝芯片、3D封裝都是SiP封裝的重要技術(shù)。

SiP封裝顯微圖

(4)倒裝技術(shù)

倒裝芯片焊接(Flip-chipBonding)技術(shù)是一種新型封裝技術(shù),是整個(gè)封裝過(guò)程中的一個(gè)流程,不同于傳統(tǒng)封裝需要金屬引線鍵合步驟,它將工作面(有源區(qū)面)上采用凸點(diǎn)電極工藝(Bumping)與基板布線層直接鍵合。在封裝的過(guò)程中,晶片(IC)會(huì)被翻轉(zhuǎn)過(guò)來(lái),以面朝下方式讓晶片上面的接合點(diǎn)(Pad)透過(guò)金屬導(dǎo)體與基板的接合點(diǎn)相互連接的封裝技術(shù)。

倒裝技術(shù)

與傳統(tǒng)的引線鍵合技術(shù)(WireBonding)相比,倒裝芯片焊接技術(shù)鍵合焊區(qū)的凸點(diǎn)電極不僅僅沿芯片四周邊緣分布,而是可以通過(guò)再布線實(shí)現(xiàn)面陣分布。

因而倒裝芯片焊接技術(shù)密度更高,使用倒裝焊技術(shù)能增加單位面積內(nèi)的I/O數(shù)量;縮小晶片封裝后的尺寸,是BGA、CSP等封裝中經(jīng)常采用的技術(shù)。

同時(shí)倒裝由于沒(méi)有金屬引線鍵合,降低了晶片與基板間的信號(hào)傳輸距離,信號(hào)完整性、頻率特性更好;另一方面,倒裝凸點(diǎn)等制備基本以圓片、芯片為單位,較單根引線為單位的引線鍵合互連來(lái)講,生產(chǎn)效率高,降低了批量封裝的成本。

金屬引線鍵合工藝流程

倒裝芯片焊接的關(guān)鍵技術(shù)包括凸點(diǎn)制作和倒裝焊接兩個(gè)步驟。凸點(diǎn)制作工藝關(guān)鍵是要保證凸點(diǎn)的一致性。特別是隨著芯片引腳數(shù)的增多以及對(duì)芯片尺寸要求的提高,凸點(diǎn)尺寸及其間距越來(lái)越小,制作凸點(diǎn)時(shí)又不能損傷脆弱的芯片。

倒裝焊接現(xiàn)在應(yīng)用較多的有熱壓焊和超聲焊。熱壓焊接工藝要求在把芯片貼放到基板上時(shí),同時(shí)加壓加熱。該方法的優(yōu)點(diǎn)是工藝簡(jiǎn)單,工藝溫度低,無(wú)需使用焊劑,可以實(shí)現(xiàn)細(xì)間距連接;缺點(diǎn)是熱壓壓力較大,僅適用于剛性基底(如氧化鋁或硅),基板必須保證高的平整度,熱壓頭也要有高的平行對(duì)準(zhǔn)精度。

為避免半導(dǎo)體材料受到不必要的損害,設(shè)備施加壓力要有精確的梯度控制能力。超聲熱壓焊連接是將超聲波應(yīng)用在熱壓連接中,使焊接過(guò)程更加快速。超聲波的引入使連接材料迅速軟化,易于實(shí)現(xiàn)塑性變形。熱超聲的優(yōu)點(diǎn)是可以降低連接溫度,縮短加工處理的時(shí)間。缺點(diǎn)是可能在硅片上形成小的凹坑,主要是由于超聲震動(dòng)過(guò)強(qiáng)造成的。該方法主要適用于金凸點(diǎn)、鍍金焊盤的組合。

倒裝BUMP工藝流程

總結(jié):

對(duì)于正在快速發(fā)展的中國(guó)集成電路產(chǎn)業(yè)來(lái)說(shuō),封裝企業(yè)是最后的一道屏障,如果沒(méi)有封裝的保證,所謂的自主可控也是鏡花水月,期待中國(guó)封裝產(chǎn)業(yè)能如愿走到全球領(lǐng)先位置。

中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0