中國半導(dǎo)體設(shè)備市場的危與機(jī)

時(shí)間:2019-04-17

來源:半導(dǎo)體行業(yè)觀察 張健

導(dǎo)語:中國本土半導(dǎo)體產(chǎn)業(yè)還不夠成熟,而隨著政治環(huán)境的變動(dòng),我國半導(dǎo)體及半導(dǎo)體設(shè)備的軟肋愈發(fā)凸顯。正所謂絕地逢生,國產(chǎn)半導(dǎo)體設(shè)備市場境況究竟如何?有哪些行業(yè)個(gè)體正抓住機(jī)遇推動(dòng)本土產(chǎn)業(yè)鏈的完善和成熟?本文將帶你一探究竟。

【編者按】中國本土半導(dǎo)體產(chǎn)業(yè)還不夠成熟,而隨著政治環(huán)境的變動(dòng),我國半導(dǎo)體及半導(dǎo)體設(shè)備的軟肋愈發(fā)凸顯。正所謂絕地逢生,國產(chǎn)半導(dǎo)體設(shè)備市場境況究竟如何?有哪些行業(yè)個(gè)體正抓住機(jī)遇推動(dòng)本土產(chǎn)業(yè)鏈的完善和成熟?本文將帶你一探究竟。

中美貿(mào)易爭端本來已經(jīng)緩解,然而在前些天,美國商務(wù)部對外宣布,將44家中國企業(yè)和學(xué)校列入了美國企業(yè)應(yīng)謹(jǐn)慎對待的“未經(jīng)核實(shí)”實(shí)體的“危險(xiǎn)名單”。據(jù)悉,該名單內(nèi)包括半導(dǎo)體光電、汽車技術(shù)、液晶材料、精密光學(xué)、機(jī)床生產(chǎn)領(lǐng)域的企業(yè),以及廣東工業(yè)大學(xué)、中國人民大學(xué)、同濟(jì)大學(xué)以及位于西安的兩所高校。

據(jù)了解,美國供應(yīng)商不能依照現(xiàn)有的許可證為名單上的企業(yè)提供產(chǎn)品和服務(wù),必須重新申請新的許可證。據(jù)知情人士透露,雖然該名單并未要求廠商禁止與名單上的公司進(jìn)行往來,不過卻要求美國公司謹(jǐn)慎對待這些企業(yè)。

這樣一則消息,再一次牽動(dòng)了本來就很敏感的半導(dǎo)體業(yè)的神經(jīng),特別是在半導(dǎo)體設(shè)備領(lǐng)域,美國及其盟友日本的相關(guān)企業(yè)在全球處于壟斷地位,而中國大陸近幾年又在大力發(fā)展半導(dǎo)體制造業(yè),需要大量的設(shè)備,但本土的供給能力很有限(特別是中高端設(shè)備),因此,必須從美國進(jìn)口大量產(chǎn)品。

中國半導(dǎo)體設(shè)備市場的危與機(jī)

1.危機(jī)與風(fēng)險(xiǎn)

來自SEMI的統(tǒng)計(jì)數(shù)據(jù)顯示,中國大陸本土廠商的半導(dǎo)體設(shè)備,只占全球市場份額的1~2%。而從下圖,我們可以看到,中國大陸市場對半導(dǎo)體設(shè)備的需求量巨大,而且還在快速增長當(dāng)中。

360截圖20190417172154375.jpg 

2017年,中國大陸占全球半導(dǎo)體設(shè)備銷售量的15%,排在全球第3。而就在上周,SEMI的最新數(shù)據(jù)顯示,2018年全球半導(dǎo)體制造設(shè)備銷售總額達(dá)645億美元,比2017年的566.2億美元增長了14%,創(chuàng)下歷史新高。中國大陸半導(dǎo)體設(shè)備市場更是首度以131.1美元超越中國臺灣地區(qū),居全球第二。下圖為2017~2018年全球各區(qū)域全年統(tǒng)計(jì)數(shù)據(jù)(單位:10億美元)及年增長率。

 360截圖20190417172203577.jpg

然而,在全球市場中,中國大陸的IC設(shè)備廠商所能占有的份額,最多也就是5%。這種巨大的市場容量與極為有限的設(shè)備輸出水平形成了強(qiáng)烈的反差。其結(jié)果就是,我們要花大量的外匯去購買美日歐廠商的先進(jìn)設(shè)備,使得貿(mào)易逆差和產(chǎn)業(yè)安全問題難以避免。

中國大陸對半導(dǎo)體設(shè)備的渴求程度,從下圖中也可窺到一斑。在過去的十幾年中(圖中從2004年開始計(jì)算),全球的IC及元器件制造、封測等工廠在所使用的設(shè)備方面的投入逐年穩(wěn)步上升,2017年,全球相關(guān)廠商共投入了560億美元,用于購買各種設(shè)備,這比2016年的400億美元提升了38%,這個(gè)上升幅度是很大的。

360截圖20190417172215079.jpg 

之所以在2017年出現(xiàn)了這么大幅度的增長,一方面是因?yàn)槿虬雽?dǎo)體產(chǎn)業(yè)從2014年之前的緩慢復(fù)蘇和低速增長(由于2008年爆發(fā)經(jīng)濟(jì)危機(jī),半導(dǎo)體行業(yè)低迷了好幾年,2011年才恢復(fù)正增長,但年增長率也只有1%左右),而從2015年開始,增長幅度快速提升,使得全球半導(dǎo)體行業(yè)又開始火熱了起來。在這樣的背景下,2017年全球半導(dǎo)體設(shè)備支出才出現(xiàn)了同比提升38%的情形。

以上是全球的驅(qū)動(dòng)因素,除此之外,還有一個(gè)巨大的驅(qū)動(dòng)力,就是中國大陸半導(dǎo)體產(chǎn)業(yè)的快速跟進(jìn)。2014年,集成電路產(chǎn)業(yè)發(fā)展綱要推出,同年“大基金”成立,在中央和各地方政府的大力推動(dòng)和扶持下,一批晶圓代工廠項(xiàng)目上馬、籌建,帶動(dòng)了IC設(shè)計(jì)及相關(guān)服務(wù)業(yè)的興起,據(jù)中國半導(dǎo)體行業(yè)協(xié)會統(tǒng)計(jì),2017年,大陸的IC設(shè)計(jì)公司居然達(dá)到了1300多家,此外,還帶動(dòng)了產(chǎn)業(yè)鏈下游的封裝測試企業(yè)的積極性,擴(kuò)展生產(chǎn)線,購入先進(jìn)設(shè)備,以應(yīng)對上游企業(yè)和潛在客戶爆發(fā)式增長。

這樣,在半導(dǎo)體制造、封測等方面的投資自然就會快速增長,從而為全球半導(dǎo)體設(shè)備支出同比大幅提升貢獻(xiàn)了不少份額。

這樣,在相對短的時(shí)間內(nèi),資金大量涌入、諸多大項(xiàng)目快速上馬,然而,在謀求跨越式發(fā)展的同時(shí),產(chǎn)業(yè)安全問題似乎不應(yīng)該被忽視:由于中國大陸對半導(dǎo)體設(shè)備的需求量巨大,而且這種需求還在不斷加強(qiáng),而與之相對應(yīng)的,未來幾年,我國大陸廠商的設(shè)備在全球市場份額當(dāng)中所占比例最多不過5%,而且還是以中低端設(shè)備為主。這種情況持續(xù)下去的話,存在著較大的風(fēng)險(xiǎn),似乎總有一把無形的達(dá)摩克利斯之劍懸在頭上。

2、機(jī)會與成績

危局中往往蘊(yùn)育著機(jī)會,我國半導(dǎo)體設(shè)備的短板迫使本土企業(yè)必須加大投入力度、加快發(fā)展步伐,才能應(yīng)對困難局面。目前來看,已經(jīng)取得了一定的成績。

這里先簡單介紹一下半導(dǎo)體制造設(shè)備的分類和市場占比,在所有半導(dǎo)體設(shè)備中,前道的晶圓加工設(shè)備占比約80%,后道的封裝設(shè)備占比約7%,測試設(shè)備占比約9%。在占比達(dá)到80%的前道晶圓設(shè)備中,光刻機(jī)約占19%,PVD(物理氣相沉積)、CVD(化學(xué)氣相淀積)等沉積設(shè)備占比約為22%,刻蝕和清洗設(shè)備合計(jì)占比約為30%。

以上各種設(shè)備中,越靠近前端,其技術(shù)難度越大,例如,制造設(shè)備技術(shù)難度大于封裝和測試設(shè)備。而在制造設(shè)備中,光刻機(jī)的技術(shù)難度大于刻蝕設(shè)備,刻蝕難度大于薄膜沉積設(shè)備,而清洗、拋光、檢測等設(shè)備的難度相對較小。

之所以如此,是因?yàn)楣饪逃袊?yán)格的線寬要求,而刻蝕涉及等離子體物理學(xué),且會對晶圓產(chǎn)生破壞,氣相沉積設(shè)備涉及高溫化學(xué)且對沉積膜的均勻性要求極高。而后段的清洗、檢測等則沒有這方面的要求,且本身不會對晶圓產(chǎn)生破壞。封裝設(shè)備中,技術(shù)難度最大的是鍵合,測試設(shè)備中,封裝后測試技術(shù)難度小于晶圓測試。

最近幾年,我國大陸已立項(xiàng)的晶圓制造和代工廠有20多座,有的已經(jīng)投產(chǎn),有的則在規(guī)劃或建設(shè)過程當(dāng)中。粗略統(tǒng)計(jì),它們需要的投資規(guī)模達(dá)1255億美元,按照70%投資設(shè)備的比例計(jì)算,有約879億美元設(shè)備采購支出,如果以3年為一個(gè)建設(shè)周期計(jì)算的話,則2017~2019年平均每年約有293億美元的采購規(guī)模。而在晶圓加工設(shè)備中,國內(nèi)能夠供應(yīng)的主要是刻蝕、沉積和清洗設(shè)備。

近年來,隨著國家對半導(dǎo)體產(chǎn)業(yè)的持續(xù)投入,以及民營企業(yè)的興起,國產(chǎn)半導(dǎo)體設(shè)備產(chǎn)業(yè)鏈布局逐步走向完善,特別是在硅單晶爐、刻蝕機(jī)、封裝、測試設(shè)備等壁壘相對低的領(lǐng)域,國產(chǎn)設(shè)備已經(jīng)達(dá)到或接近國際先進(jìn)水平,且成本優(yōu)勢明顯。此外,一些產(chǎn)線配套設(shè)備、自動(dòng)化設(shè)備、潔靜設(shè)備等也取得了一定的市場占有率。

例如,晶盛機(jī)電生產(chǎn)的單晶硅長晶爐,其在投料量、自動(dòng)化程度和晶棒尺寸等指標(biāo)方面均已處于國際領(lǐng)先水平;中微半導(dǎo)體生產(chǎn)的刻蝕機(jī)實(shí)現(xiàn)了商業(yè)化量產(chǎn),并已進(jìn)入臺積電先進(jìn)工藝產(chǎn)線;北方華創(chuàng)的CVD設(shè)備已進(jìn)入中芯國際28nm產(chǎn)線,14nm設(shè)備也處于驗(yàn)證期,由于中芯國際的14nm制程將在今年實(shí)現(xiàn)量產(chǎn),估計(jì)不久就能批量使用北方華創(chuàng)的14nm設(shè)備。

測試設(shè)備崛起

遵從先易后難的順序,國產(chǎn)設(shè)備要在市場占有率方面取得突破,先在測試、清洗、CMP、晶圓檢測、切割等設(shè)備上下了不少功夫,并取得了效果,而測試設(shè)備作為更偏向軟件的設(shè)備領(lǐng)域,是最先崛起的。

目前,國內(nèi)測試設(shè)備競爭較為激烈,國內(nèi)廠商,如華峰和長川科技已經(jīng)在技術(shù)上取得了一定的突破,利用成本優(yōu)勢,從分立元件測試、模擬測試、分選機(jī)等低端測試領(lǐng)域開始,和國際廠商展開競爭,并取得了一定的市場份額。

2014年創(chuàng)立的中國中科飛測(Skyverse)是晶圓測試設(shè)備商,根據(jù)日經(jīng)新聞的報(bào)導(dǎo),該公司將競爭目標(biāo)對準(zhǔn)了美國晶圓檢測設(shè)備大廠KLA。中科飛測營銷經(jīng)理LeoHe表示,該公司計(jì)劃今年量產(chǎn),長江存儲、中芯國際、華力微電子都將使用其設(shè)備。他說,中美貿(mào)易沖突升溫,更多本土芯片商愿意使用該公司的測試設(shè)備,而在幾年前還鮮有這種情況出現(xiàn),他表示2019將是中科飛測的里程碑之年。

晶圓加工設(shè)備的進(jìn)展

晶圓加工設(shè)備難度高,其中以光刻機(jī)和刻蝕機(jī)為最。目前,晶圓加工設(shè)備主要由海外巨頭供應(yīng),國內(nèi)代表企業(yè)為北方華創(chuàng)和中微半導(dǎo)體等,正努力追趕。以下介紹部分設(shè)備的發(fā)展情況。

高溫爐方面,市場主要被應(yīng)用材料、日立、東電電子等國際企業(yè)占據(jù)。本土設(shè)備品牌中,北方華創(chuàng)12英寸立式氧化爐陸續(xù)通過了90nm/65nm/45nm/28nm制程產(chǎn)線的工藝驗(yàn)證,并實(shí)現(xiàn)了應(yīng)用。同時(shí),隨著國內(nèi)8英寸產(chǎn)線的建設(shè)和擴(kuò)產(chǎn),北方華創(chuàng)利用其多年在12英寸立式氧化爐方面的積累,開發(fā)出了全新的8英寸立式高溫氧化爐,并中標(biāo)了國內(nèi)多個(gè)8英寸和12英寸項(xiàng)目。

此外,亦莊國投于2016年收購了美國公司MattsonTechnology,Mattson在刻蝕、快速熱處理(RTP)、光刻膠剝離及清洗等技術(shù)領(lǐng)域具有領(lǐng)先優(yōu)勢。據(jù)悉,該公司2018年的研發(fā)經(jīng)費(fèi)倍增至4000萬美元。Mattson總裁兼CEO陸郝安(Allenlu)表示,貿(mào)易緊張的不確定性讓中國芯片廠樂于使用本土設(shè)備,政府也愿意相助,但是,最終的設(shè)備質(zhì)量才是取得訂單的關(guān)鍵。

光刻方面,全球光刻機(jī)市場主要由荷蘭的ASML、日本尼康和佳能三家把持。國產(chǎn)光刻機(jī)領(lǐng)域,上海微電子(SMEE)一枝獨(dú)秀,2018年3月,該公司承擔(dān)“02專項(xiàng)”的90nm光刻機(jī)樣機(jī)研制順利通過驗(yàn)收,成為國產(chǎn)光刻機(jī)的代表。

據(jù)悉,上海微電子早期的光刻機(jī)主要用于90nm后道封裝測試,在該領(lǐng)域較早實(shí)現(xiàn)了國產(chǎn)替代,2018年5月,該公司第100臺光刻機(jī)交付長電科技產(chǎn)線。目前,其SMEE600系列IC前道投影光刻機(jī)兼容200mm和300mm晶圓,可用于90nm關(guān)鍵層和非關(guān)鍵層的前道IC制造,正在逐步滲透進(jìn)入客戶前道工藝產(chǎn)線。

刻蝕設(shè)備方面,全球市場主要被LamResearch(市占約為53%)、東電電子(市占約20%)和應(yīng)用材料(市占約19%)這3家把持,該TOP3市占總和超過90%。國產(chǎn)核心設(shè)備中,刻蝕機(jī)國產(chǎn)化率最高,上升速度最快。據(jù)SEMI預(yù)計(jì),到2020年,我國國內(nèi)刻蝕機(jī)國產(chǎn)率將達(dá)到20%。

國內(nèi)企業(yè)中,中微半導(dǎo)體在介質(zhì)刻蝕領(lǐng)域,北方華創(chuàng)在硅刻蝕機(jī)和金屬刻蝕機(jī)領(lǐng)域處于國內(nèi)領(lǐng)先地位,尤其是中微半導(dǎo)體的介質(zhì)刻蝕機(jī),由28nm向10nm,以及7nm不斷演進(jìn),其最先進(jìn)的7nm刻蝕機(jī)已經(jīng)進(jìn)入臺積電產(chǎn)線,成為極少數(shù)進(jìn)入國際一流代工廠最先進(jìn)制程工藝產(chǎn)線的本土半導(dǎo)體設(shè)備廠商。

此外,2018年6月和8月,北方華創(chuàng)兩臺等離子體刻蝕設(shè)備分別中標(biāo)上海華力集成、株洲中車時(shí)代電氣生產(chǎn)線;2018年10月,北京創(chuàng)世威納一臺離子束刻蝕機(jī)中標(biāo)北方特種能源集團(tuán);截至2019年3月,來自北方華創(chuàng)和中微半導(dǎo)體的3臺刻蝕設(shè)備中標(biāo)了華虹半導(dǎo)體生產(chǎn)線。

CVD市場方面,應(yīng)用材料占據(jù)龍頭地位,全球市場份額達(dá)到30%,其次是LamResearch和東電電子。在國內(nèi),北方華創(chuàng)的LPCVD和沈陽拓荊的PECVD已通過主流晶圓代工廠驗(yàn)證,實(shí)現(xiàn)了小批量的設(shè)備交付。

除了以上設(shè)備,還有晶盛機(jī)電的單晶爐、凱世通的離子注入機(jī)等,取得了不錯(cuò)的業(yè)績,這里就不再詳述了。

以上是我國本土半導(dǎo)體設(shè)備企業(yè)取得的成績。

然而,雖然我國在部分領(lǐng)域有所突破,但總體而言,國內(nèi)半導(dǎo)體設(shè)備產(chǎn)業(yè)實(shí)力依然薄弱,總體國產(chǎn)化率不足15%,且絕大部分企業(yè)無法達(dá)到國際上已經(jīng)量產(chǎn)的10nm制程工藝水平,而在28nm或14nm工藝取得突破的設(shè)備廠商,其產(chǎn)品穩(wěn)定性與國際巨頭仍有差距,要想大批量進(jìn)入產(chǎn)線,還需要時(shí)間和積累。

國產(chǎn)設(shè)備需要試錯(cuò)機(jī)會

由于巨額資金的投入和升級工藝的緊迫性,國內(nèi)的晶圓廠采購設(shè)備時(shí),對成本不是很敏感,主要關(guān)注點(diǎn)在能夠快速、穩(wěn)定地實(shí)現(xiàn)先進(jìn)工藝的量產(chǎn)。

我國本土設(shè)備在量產(chǎn)的穩(wěn)定性上,與國際設(shè)備公司相比存在較大的差距,而且,國外設(shè)備廠商的產(chǎn)品已經(jīng)在臺積電、三星等公司的產(chǎn)線上實(shí)現(xiàn)穩(wěn)定量產(chǎn),因此,對國內(nèi)晶圓代工廠而言,采用國外的設(shè)備是最省時(shí)間和精力的。因此,優(yōu)先采購的,大多是國外先進(jìn)設(shè)備公司的成熟產(chǎn)品。

半導(dǎo)體設(shè)備具有較高的技術(shù)壁壘,且研發(fā)周期長、投入大。國產(chǎn)設(shè)備廠商雖然在工藝制程上已經(jīng)有所突破,但離穩(wěn)定量產(chǎn)還有一定的差距,因此,需要有試錯(cuò)機(jī)會,試錯(cuò)周期通常達(dá)一年甚至數(shù)年。但現(xiàn)在中國大陸大力發(fā)展集成電路制造業(yè),首要任務(wù)是實(shí)現(xiàn)制造工藝的更新,而提升國產(chǎn)化率的要求較弱,所以沒有太多時(shí)間給國產(chǎn)設(shè)備廠商提供試錯(cuò)機(jī)會。量產(chǎn)中,制造設(shè)備一旦出問題,整條產(chǎn)線上的晶圓都有可能報(bào)廢,需要停工檢查維修,這對晶圓廠而言代價(jià)巨大。因此,國產(chǎn)設(shè)備常常處于產(chǎn)品研發(fā)出來,但得不到大批量使用的境地。

不過,從近一年的情勢來看,以上狀況正在悄然地發(fā)生著改變,主要原因就是貿(mào)易保護(hù)主義的影響,使得我們不得不更多地考慮采用本土設(shè)備,以降低產(chǎn)業(yè)風(fēng)險(xiǎn)。

以長江存儲為例,來自國君機(jī)械的研究和統(tǒng)計(jì)顯示,長江存儲的設(shè)備國產(chǎn)化率較高,特別是在2018年,其對國產(chǎn)設(shè)備的支持力度較大。自2017年初開工至2018年底投產(chǎn),在這兩年期間,長江存儲共采購了17批設(shè)備,共計(jì)約2000臺。

其中,刻蝕設(shè)備國產(chǎn)化率接近15%,主要供應(yīng)商是中微半導(dǎo)體;清洗設(shè)備國產(chǎn)化率達(dá)到23%,主要供應(yīng)商是盛美和北方華創(chuàng);氧化/退火設(shè)備的國產(chǎn)化率達(dá)到30%,主要供應(yīng)商為北方華創(chuàng)和Mattson;泵的國產(chǎn)化率達(dá)到35%,主要供應(yīng)商為沈陽科儀;溫控設(shè)備的國產(chǎn)化率達(dá)到65%,主要供應(yīng)商為北京京儀;去膠設(shè)備、熱處理設(shè)備的國產(chǎn)化率達(dá)到100%,主要供應(yīng)商為Mattson。

但是,長江存儲項(xiàng)目初期的成膜設(shè)備國產(chǎn)化率較低,約為2~3%,主要供應(yīng)商是北方華創(chuàng)和沈陽拓荊;量測設(shè)備的國產(chǎn)化率也較低,約為1~2%,主要供應(yīng)商是睿勵(lì)科儀。而光刻機(jī)、涂膠顯影、測試、研磨拋設(shè)備的國產(chǎn)率最低,幾乎為0。

結(jié)語

如本文開篇所述,美國商務(wù)部于近期將44家中國企業(yè)和學(xué)校列入了美國企業(yè)應(yīng)謹(jǐn)慎對待的“未經(jīng)核實(shí)”實(shí)體的“危險(xiǎn)名單”,有一些企業(yè)也受到了直接影響。

但實(shí)際上,無論是中國本土采購企業(yè)(需求側(cè)),還是國際半導(dǎo)體設(shè)備廠商(供給側(cè)),都不愿意看到貿(mào)易爭端持續(xù)下去,畢竟,在商言商,有好的生意做才是硬道理,在這個(gè)層面講,大家有共同的利益訴求,都不希望有過多的政治因素干擾。

退一步講,即使出現(xiàn)極端狀況,中國本土半導(dǎo)體企業(yè)被國外設(shè)備廠商完全斷貨(非設(shè)備供應(yīng)商所愿,大多是被迫的),也未必就是壞事,因?yàn)榭梢缘贡票就廉a(chǎn)業(yè)鏈進(jìn)一步完善和成熟。


中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來源:中國傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來源“中國傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請保留稿件來源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問題,請?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號獲取更多資訊

關(guān)注中國傳動(dòng)網(wǎng)公眾號獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0