英特爾加速制程工藝和封裝技術(shù)創(chuàng)新

時(shí)間:2021-07-28

來(lái)源:智能制造網(wǎng)

導(dǎo)語(yǔ):7月27日消息,英特爾公司當(dāng)日公布了公司詳細(xì)的制程工藝和封裝技術(shù)路線圖,展示了一系列底層技術(shù)創(chuàng)新,這些創(chuàng)新技術(shù)將不斷驅(qū)動(dòng)從現(xiàn)在到2025年乃至更遠(yuǎn)未來(lái)的新產(chǎn)品開(kāi)發(fā)。

       7月27日消息,英特爾公司當(dāng)日公布了公司詳細(xì)的制程工藝和封裝技術(shù)路線圖,展示了一系列底層技術(shù)創(chuàng)新,這些創(chuàng)新技術(shù)將不斷驅(qū)動(dòng)從現(xiàn)在到2025年乃至更遠(yuǎn)未來(lái)的新產(chǎn)品開(kāi)發(fā)。除了公布其近十多年來(lái)首個(gè)全新晶體管架構(gòu) RibbonFET 和業(yè)界首個(gè)全新的背面電能傳輸網(wǎng)絡(luò)PowerVia之外,英特爾還重點(diǎn)介紹了迅速采用下一代極紫外光刻(EUV)技術(shù)的計(jì)劃,即高數(shù)值孔徑(High-NA)EUV。英特爾有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。

  英特爾公司CEO帕特·基辛格在以“英特爾加速創(chuàng)新”為主題的全球線上發(fā)布會(huì)中表示:“基于英特爾在先進(jìn)封裝領(lǐng)域毋庸置疑的前沿性,我們正在加快制程工藝創(chuàng)新的路線圖,以確保到 2025 年制程性能再度居于業(yè)界前列。英特爾正利用我們無(wú)可比擬的持續(xù)創(chuàng)新的動(dòng)力,實(shí)現(xiàn)從晶體管到系統(tǒng)層面的全面技術(shù)進(jìn)步。在窮盡元素周期表之前,我們將堅(jiān)持不懈地追尋摩爾定律的腳步,并持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新。”

  業(yè)界早就意識(shí)到,從1997年開(kāi)始,基于納米的傳統(tǒng)制程節(jié)點(diǎn)命名方法,不再與晶體管實(shí)際的柵極長(zhǎng)度相對(duì)應(yīng)。如今,英特爾為其制程節(jié)點(diǎn)引入了全新的命名體系,創(chuàng)建了一個(gè)清晰、一致的框架,幫助客戶對(duì)整個(gè)行業(yè)的制程節(jié)點(diǎn)演進(jìn)建立一個(gè)更準(zhǔn)確的認(rèn)知。隨著英特爾代工服務(wù)(IFS)的推出,讓客戶清晰了解情況比以往任何時(shí)候都顯得更加重要?;粮裾f(shuō):“今天公布的創(chuàng)新技術(shù)不僅有助于英特爾規(guī)劃產(chǎn)品路線圖,同時(shí)對(duì)我們的代工服務(wù)客戶也至關(guān)重要。業(yè)界對(duì)英特爾代工服務(wù)(IFS)有強(qiáng)烈的興趣,今天我很高興我們宣布了首次合作的兩位重要客戶。英特爾代工服務(wù)已揚(yáng)帆起航!”

  英特爾技術(shù)專家詳述了以下路線圖,其中包含新的節(jié)點(diǎn)命名和實(shí)現(xiàn)每個(gè)制程節(jié)點(diǎn)的創(chuàng)新技術(shù):

  基于FinFET晶體管優(yōu)化,Intel 7與Intel 10nm SuperFin相比,每瓦性能將提升約10%-15%。2021年即將推出的Alder Lake客戶端產(chǎn)品將會(huì)采用Intel 7 工藝,之后是面向數(shù)據(jù)中心的 Sapphire Rapids預(yù)計(jì)將于 2022 年第一季度投產(chǎn)。

  Intel 4完全采用EUV光刻技術(shù),可使用超短波長(zhǎng)的光,刻印極微小的圖樣。憑借每瓦性能約 20% 的提升以及芯片面積的改進(jìn),Intel 4將在 2022 年下半年投產(chǎn),并于 2023 年出貨,這些產(chǎn)品包括面向客戶端的 Meteor Lake 和面向數(shù)據(jù)中心的 Granite Rapids。

  Intel 3憑借FinFET的進(jìn)一步優(yōu)化和在更多工序中增加對(duì)EUV使用,較之Intel 4將在每瓦性能上實(shí)現(xiàn)約18%的提升,在芯片面積上也會(huì)有額外改進(jìn)。Intel 3將于2023年下半年開(kāi)始用于相關(guān)產(chǎn)品生產(chǎn)。

  Intel 20A將憑借RibbonFET和PowerVia兩大突破性技術(shù)開(kāi)啟埃米時(shí)代。RibbonFET 是英特爾對(duì)Gate All Around晶體管的實(shí)現(xiàn),它將成為公司自 2011 年率先推出 FinFET 以來(lái)的首個(gè)全新晶體管架構(gòu)。該技術(shù)加快了晶體管開(kāi)關(guān)速度,同時(shí)實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流,但占用的空間更小。PowerVia 是英特爾獨(dú)有的、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),通過(guò)消除晶圓正面供電布線需求來(lái)優(yōu)化信號(hào)傳輸。Intel 20A 預(yù)計(jì)將在 2024 年推出。英特爾也很高興能在Intel 20A 制程工藝技術(shù)上,與高通公司進(jìn)行合作。

  2025年及更遠(yuǎn)的未來(lái):從Intel 20A更進(jìn)一步的Intel 18A節(jié)點(diǎn)也已在研發(fā)中,將于2025年初推出,它將對(duì)RibbonFET進(jìn)行改進(jìn),在晶體管性能上實(shí)現(xiàn)又一次重大飛躍。英特爾還致力于定義、構(gòu)建和部署下一代High-NA EUV,有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。英特爾正與 ASML 密切合作,確保這一行業(yè)突破性技術(shù)取得成功,超越當(dāng)前一代 EUV。

  英特爾高級(jí)副總裁兼技術(shù)開(kāi)發(fā)總經(jīng)理Ann Kelleher博士表示:“英特爾有著悠久的制程工藝基礎(chǔ)性創(chuàng)新的歷史,這些創(chuàng)新均驅(qū)動(dòng)了行業(yè)的飛躍。我們促進(jìn)了從90納米應(yīng)變硅向45納米高K金屬柵極的過(guò)渡,并在22納米時(shí)率先引入FinFET。憑借RibbonFET 和 PowerVia兩大開(kāi)創(chuàng)性技術(shù),Intel 20A 將成為制程技術(shù)的另一個(gè)分水嶺?!?/p>

  隨著英特爾全新IDM 2.0戰(zhàn)略的實(shí)施,封裝對(duì)于實(shí)現(xiàn)摩爾定律的益處變得更加重要。英特爾宣布,AWS 將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。英特爾對(duì)行業(yè)的前沿封裝路線圖提出:

  EMIB作為首個(gè)2.5D嵌入式橋接解決方案將繼續(xù)推動(dòng)行業(yè)發(fā)展,英特爾自2017年以來(lái)一直在出貨EMIB產(chǎn)品。Sapphire Rapids 將成為采用EMIB(嵌入式多芯片互連橋接)批量出貨的首個(gè)英特爾?至強(qiáng)?數(shù)據(jù)中心產(chǎn)品。它也將是業(yè)界首個(gè)提供幾乎與單片設(shè)計(jì)相同性能的,但整合了兩個(gè)光罩尺寸的器件。繼Sapphire Rapids之后,下一代 EMIB的凸點(diǎn)間距將從 55微米縮短至 45微米。

  Foveros利用晶圓級(jí)封裝能力,提供首個(gè)3D堆疊解決方案。Meteor Lake是在客戶端產(chǎn)品中實(shí)現(xiàn)Foveros技術(shù)的第二代部署。該產(chǎn)品具有 36微米的凸點(diǎn)間距,不同晶片可基于多個(gè)制程節(jié)點(diǎn),熱設(shè)計(jì)功率范圍為 5-125W。

  Foveros Omni開(kāi)創(chuàng)了下一代Foveros技術(shù),通過(guò)高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供了無(wú)限制的靈活性。Foveros Omni允許裸片分解,將基于不同晶圓制程節(jié)點(diǎn)的多個(gè)頂片與多個(gè)基片混合搭配,預(yù)計(jì)將于2023年用到量產(chǎn)的產(chǎn)品中。

  Foveros Direct實(shí)現(xiàn)了向直接銅對(duì)銅鍵合的轉(zhuǎn)變,它可以實(shí)現(xiàn)低電阻互連,并使得從晶圓制成到封裝開(kāi)始,兩者之間的界限不再那么截然。Foveros Direct 實(shí)現(xiàn)了10微米以下的凸點(diǎn)間距,使3D堆疊的互連密度提高了一個(gè)數(shù)量級(jí),為功能性裸片分區(qū)提出了新的概念,這在以前是無(wú)法實(shí)現(xiàn)的。Foveros Direct 是對(duì) Foveros Omni 的補(bǔ)充,預(yù)計(jì)也將于 2023年用到量產(chǎn)的產(chǎn)品中。

  今天討論的突破性技術(shù)主要在英特爾俄勒岡州和亞利桑那州的工廠開(kāi)發(fā),這鞏固了英特爾作為一家同時(shí)擁有芯片研發(fā)和制造能力的前沿企業(yè)的地位。此外,這些創(chuàng)新還得益于與美國(guó)和歐洲合作伙伴生態(tài)系統(tǒng)的緊密合作。深入的合作關(guān)系是將基礎(chǔ)性創(chuàng)新從實(shí)驗(yàn)室研發(fā)投入到量產(chǎn)制造的關(guān)鍵,英特爾致力于與各地政府合作,強(qiáng)化供應(yīng)鏈,并推動(dòng)經(jīng)濟(jì)和國(guó)家安全。

中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0