英特爾引入下一代光刻機(jī),制程工藝即將進(jìn)入埃米時(shí)代!

時(shí)間:2021-07-28

來(lái)源:物聯(lián)網(wǎng)智庫(kù)

導(dǎo)語(yǔ):“在窮盡元素周期表之前,我們將堅(jiān)持不懈地追尋摩爾定律的腳步,并持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新。”——英特爾公司CEO 帕特·基辛格

        導(dǎo) 讀

  “在窮盡元素周期表之前,我們將堅(jiān)持不懈地追尋摩爾定律的腳步,并持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新?!薄⑻貭柟綜EO 帕特·基辛格

  1.jpg

  今日凌晨(7月27日),英特爾公布了公司有史以來(lái)最詳細(xì)的制程工藝和封裝技術(shù)路線圖,展示了一系列底層技術(shù)創(chuàng)新,并有望將此影響延續(xù)至2025年及以后。

  在本次“英特爾加速創(chuàng)新:制程工藝和封裝技術(shù)線上發(fā)布會(huì)”上,英特爾除了公布了其近十多年來(lái)首個(gè)全新晶體管架構(gòu) RibbonFET 和業(yè)界首個(gè)全新的背面電能傳輸網(wǎng)絡(luò)PowerVia之外,還重點(diǎn)介紹了采用下一代極紫外光刻(EUV)技術(shù)的計(jì)劃,即高數(shù)值孔徑(High-NA)EUV。英特爾有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。

  2.jpg

  英特爾公司CEO帕特·基辛格在發(fā)布會(huì)中表示:“基于英特爾在先進(jìn)封裝領(lǐng)域毋庸置疑的領(lǐng)先性,我們正在加快制程工藝創(chuàng)新的路線圖,以確保到 2025 年制程性能再度領(lǐng)先業(yè)界。”

  窮盡摩爾定律,啟動(dòng)新命名體系

  數(shù)十年來(lái),制程工藝“節(jié)點(diǎn)”的名稱都與晶體管的柵極長(zhǎng)度相對(duì)應(yīng)。但從1997年開(kāi)始,業(yè)界開(kāi)始意識(shí)到,基于納米的傳統(tǒng)制程節(jié)點(diǎn)命名方法已經(jīng)不再符合實(shí)際。

  雖然業(yè)界多年前不再遵守這種命名法,但英特爾卻一直沿用這種歷史模式,即使用反映尺寸單位(如納米)的遞減數(shù)字來(lái)為節(jié)點(diǎn)命名。

  不過(guò),整個(gè)行業(yè)使用著各不相同的制程節(jié)點(diǎn)命名和編號(hào)方案,這些多樣的方案既不再指代任何具體的度量方法,也無(wú)法全面展現(xiàn)如何實(shí)現(xiàn)能效和性能的最佳平衡。

  今天,在披露制程工藝路線圖時(shí),英特爾引入了基于關(guān)鍵技術(shù)參數(shù)——包括性能、功耗和面積等的新命名體系。從上一個(gè)節(jié)點(diǎn)到下一個(gè)節(jié)點(diǎn)命名的數(shù)字遞減,反映了對(duì)這些關(guān)鍵參數(shù)改進(jìn)的整體評(píng)估,以幫助客戶對(duì)整個(gè)行業(yè)的制程節(jié)點(diǎn)演進(jìn)建立一個(gè)更準(zhǔn)確的認(rèn)知。

  基辛格說(shuō):“今天公布的創(chuàng)新技術(shù)不僅有助于英特爾規(guī)劃產(chǎn)品路線圖,同時(shí)對(duì)我們的代工服務(wù)客戶也至關(guān)重要。”隨著英特爾代工服務(wù)(IFS)的推出,新的命名體系將有助英特爾的客戶清晰了解情況,這比以往任何時(shí)候都顯得更加重要。

  3.jpg

  具體來(lái)看,英特爾從下一個(gè)節(jié)點(diǎn)(此前被稱作Enhance SuperFin)Intel 7開(kāi)始,后續(xù)節(jié)點(diǎn)命名將陸續(xù)為Intel 4、Intel 3,而在Intel 3之后的下一個(gè)節(jié)點(diǎn)將被命名為Intel 20A,這一命名反映了向新時(shí)代的過(guò)渡,即工程師在原子水平上制造器件和材料的時(shí)代——半導(dǎo)體的埃米時(shí)代。

  “摩爾定律仍在持續(xù)生效。對(duì)于未來(lái)十年走向超越‘1納米’節(jié)點(diǎn)的創(chuàng)新,英特爾有著一條清晰的路徑?!被粮癖硎?。

  以下是英特爾制程技術(shù)路線圖、實(shí)現(xiàn)每個(gè)節(jié)點(diǎn)的創(chuàng)新技術(shù)以及新節(jié)點(diǎn)命名的詳細(xì)信息:

  Intel 7(此前稱之為10納米Enhanced SuperFin):通過(guò)FinFET晶體管優(yōu)化,每瓦性能比英特爾10納米SuperFin提升約10% - 15%,優(yōu)化方面包括更高應(yīng)變性能、更低電阻的材料、新型高密度蝕刻技術(shù)、流線型結(jié)構(gòu),以及更高的金屬堆棧實(shí)現(xiàn)布線優(yōu)化。預(yù)計(jì)Intel 7將亮相于2021年推出的面向客戶端的Alder Lake,以及預(yù)計(jì)將于2022年第一季度投產(chǎn)的面向數(shù)據(jù)中心的Sapphire Rapids也將內(nèi)置Intel 7。

  Intel 4(此前稱之為Intel 7納米):與Intel 7相比,Intel 4的每瓦性能1提高了約20% ,它是首個(gè)完全采用EUV光刻技術(shù)的英特爾FinFET節(jié)點(diǎn)。Intel 4將于2022年下半年投產(chǎn),2023年出貨,產(chǎn)品包括面向客戶端的Meteor Lake和面向數(shù)據(jù)中心的Granite Rapids。EUV采用高度復(fù)雜的透鏡和反射鏡光學(xué)系統(tǒng),將13.5納米波長(zhǎng)的光對(duì)焦,從而在硅片上刻印極微小的圖樣。相較于之前使用波長(zhǎng)為193納米的光源的技術(shù),這是巨大的進(jìn)步。

  Intel 3:將繼續(xù)獲益于FinFET,較之Intel 4,Intel 3將在每瓦性能上實(shí)現(xiàn)約18%的提升。這是一個(gè)比通常的標(biāo)準(zhǔn)全節(jié)點(diǎn)改進(jìn)水平更高的晶體管性能提升。Intel 3實(shí)現(xiàn)了更高密度、更高性能的庫(kù);提高了內(nèi)在驅(qū)動(dòng)電流;通過(guò)減少通孔電阻,優(yōu)化了互連金屬堆棧;與Intel 4相比,Intel 3在更多工序中增加了EUV的使用。Intel 3將于2023年下半年開(kāi)始生產(chǎn)相關(guān)產(chǎn)品。

  Intel 20A:得益于PowerVia和RibbonFET這兩項(xiàng)突破性技術(shù),開(kāi)啟了制程工藝的埃米時(shí)代。Intel 20A制程工藝技術(shù)上將與高通公司進(jìn)行合作,預(yù)計(jì)將在2024年推出。

  4.jpg

  PowerVia是英特爾獨(dú)有、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),它消除晶圓正面的供電布線需求,優(yōu)化信號(hào)布線,同時(shí)減少下垂和降低干擾。RibbonFET是英特爾研發(fā)的Gate All Around晶體管,是公司自2011年率先推出FinFET以來(lái)的首個(gè)全新晶體管架構(gòu),提供更快的晶體管開(kāi)關(guān)速度,同時(shí)以更小的占用空間實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流。

  Intel 18A:這是面向2025 年及更遠(yuǎn)的未來(lái),基于Intel 20A更進(jìn)一步的節(jié)點(diǎn)提升,目前正在研制中,預(yù)計(jì)將于2025年初推出。它將對(duì)RibbonFET進(jìn)行改進(jìn),在晶體管性能上實(shí)現(xiàn)又一次重大飛躍。

  英特爾還致力于定義、構(gòu)建和部署下一代High-NA EUV,有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。英特爾正與 ASML 密切合作,確保這一行業(yè)突破性技術(shù)取得成功,超越當(dāng)前一代 EUV。

  “英特爾和ASML共同走在極紫外光刻(EUV)技術(shù)的前沿。隨著英特爾不斷拓展其全球工廠網(wǎng)絡(luò),我們隨時(shí)準(zhǔn)備提供能為未來(lái)創(chuàng)新做出貢獻(xiàn)的最先進(jìn)的EUV。我們對(duì)下一代高數(shù)值孔徑EUV倍感興奮,它將使芯片技術(shù)取得更大進(jìn)步?!盇SML公司CEO兼總裁Peter Wennink談到。

  英特爾代工服務(wù)推出,趕超臺(tái)積電

  隨著英特爾全新IDM 2.0戰(zhàn)略的實(shí)施,封裝對(duì)于實(shí)現(xiàn)摩爾定律的益處變得更加重要。基辛格說(shuō),“業(yè)界對(duì)英特爾代工服務(wù)(IFS)有強(qiáng)烈的興趣,今天我很高興我們宣布了首次合作的兩位重要客戶。英特爾代工服務(wù)已揚(yáng)帆起航!”英特爾對(duì)領(lǐng)先行業(yè)的先進(jìn)封裝路線圖提出:

  5.jpg

  EMIB作為首個(gè) 2.5D 嵌入式橋接解決方案將繼續(xù)引領(lǐng)行業(yè),英特爾自2017年以來(lái)一直在出貨EMIB產(chǎn)品。Sapphire Rapids 將成為采用EMIB(嵌入式多芯片互連橋接)批量出貨的首個(gè)英特爾?至強(qiáng)?數(shù)據(jù)中心產(chǎn)品。它也將是業(yè)界首個(gè)提供幾乎與單片設(shè)計(jì)相同性能的,但整合了兩個(gè)光罩尺寸的器件。繼Sapphire Rapids之后,下一代 EMIB的凸點(diǎn)間距將從 55微米縮短至 45微米。

  Foveros利用晶圓級(jí)封裝能力,提供史上首個(gè) 3D 堆疊解決方案。Meteor Lake是在客戶端產(chǎn)品中實(shí)現(xiàn)Foveros技術(shù)的第二代部署。該產(chǎn)品具有 36微米的凸點(diǎn)間距,不同晶片可基于多個(gè)制程節(jié)點(diǎn),熱設(shè)計(jì)功率范圍為 5-125W。

  Foveros Omni開(kāi)創(chuàng)了下一代Foveros技術(shù),通過(guò)高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供了無(wú)限制的靈活性。Foveros Omni允許裸片分解,將基于不同晶圓制程節(jié)點(diǎn)的多個(gè)頂片與多個(gè)基片混合搭配,預(yù)計(jì)將于2023年用到量產(chǎn)的產(chǎn)品中。

  Foveros Direct實(shí)現(xiàn)了向直接銅對(duì)銅鍵合的轉(zhuǎn)變,它可以實(shí)現(xiàn)低電阻互連,并使得從晶圓制成到封裝開(kāi)始,兩者之間的界限不再那么截然。Foveros Direct 實(shí)現(xiàn)了10微米以下的凸點(diǎn)間距,使3D堆疊的互連密度提高了一個(gè)數(shù)量級(jí),為功能性裸片分區(qū)提出了新的概念,這在以前是無(wú)法實(shí)現(xiàn)的。Foveros Direct 是對(duì) Foveros Omni 的補(bǔ)充,預(yù)計(jì)也將于 2023年用到量產(chǎn)的產(chǎn)品中。

  會(huì)上,英特爾宣布,AWS 將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。

  基辛格談到,今天討論的突破性技術(shù)主要在英特爾俄勒岡州和亞利桑那州的工廠開(kāi)發(fā),這鞏固了英特爾作為美國(guó)唯一一家同時(shí)擁有芯片研發(fā)和制造能力的領(lǐng)先企業(yè)的地位。

  寫(xiě)在最后

  56年前,英特爾創(chuàng)始人之一的戈登·摩爾首次提出摩爾定律,成為整個(gè)半導(dǎo)體行業(yè)無(wú)比追捧的真理和發(fā)展方向,然而在最近幾年的發(fā)展過(guò)程中,關(guān)于摩爾定律是否真實(shí)失效的討論一直在業(yè)界爭(zhēng)執(zhí)不休。

  今天,英特爾依舊延續(xù)著這一傳統(tǒng),并在全新的創(chuàng)新高度上制定路線圖?;粮裾劦?“在窮盡元素周期表之前,摩爾定律都不會(huì)失效,英特爾將持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新?!?/p>

  英特爾高級(jí)副總裁兼技術(shù)開(kāi)發(fā)總經(jīng)理Ann Kelleher博士表示:“英特爾引領(lǐng)了從90納米應(yīng)變硅向45納米高K金屬柵極的過(guò)渡,并在22納米時(shí)率先引入FinFET。憑借RibbonFET 和 PowerVia兩大開(kāi)創(chuàng)性技術(shù),Intel 20A 將成為制程技術(shù)的另一個(gè)分水嶺?!?/p>

  那么,一度“窮途末路”的摩爾定律會(huì)不會(huì)隨著進(jìn)入埃米時(shí)代而老樹(shù)逢春呢?

中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0