“分庭抗禮”的芯片制程

時(shí)間:2021-10-11

來(lái)源:

導(dǎo)語(yǔ):隨著半導(dǎo)體制程向著更先進(jìn)、更精細(xì)化方向發(fā)展,不同節(jié)點(diǎn)范圍和玩家的邊界越來(lái)越明顯。

  其中,最先進(jìn)制程玩家只剩下臺(tái)積電、三星和英特爾這3家。而在成熟制程方面,也是在近些年才被業(yè)界特別提及的,早些年,特別是在14nm量產(chǎn)之前,先進(jìn)制程與成熟制程之間的差別并沒(méi)有今天這么大,特別是在邏輯芯片生產(chǎn)領(lǐng)域,當(dāng)下,專(zhuān)注于成熟制程的廠(chǎng)商特點(diǎn)愈加突出。

  按照IC Insights的統(tǒng)計(jì)和預(yù)測(cè),各種半導(dǎo)體制程的市占率正向著相對(duì)更加均衡的方向發(fā)展,如下圖所示。

205005366581.png

  在2019年,10nm以下先進(jìn)制程的市占率僅為4.4%,而到2024年,其比例將增長(zhǎng)到30%。在該時(shí)間段內(nèi),10nm -20nm制程的市占率將從38.8%,下降到26.2%;20nm-40nm制程的市占率將從13.4%,下降到6.7%;不過(guò),從該統(tǒng)計(jì)和預(yù)測(cè)來(lái)看,40nm以上成熟制程的比例在這些年當(dāng)中沒(méi)有出現(xiàn)明顯變化。

  總體來(lái)看,到2024年,10nm以下,10nm -20nm,以及40nm以上制程各占市場(chǎng)約三分之一。

  這里特別關(guān)注成熟制程和10nm以下的先進(jìn)制程,前者市場(chǎng)率一直都處于穩(wěn)定狀態(tài),而后者市占率與日俱增,與前者的歷史發(fā)展形成鮮明對(duì)比。

  愈加火爆的成熟制程

  成熟制程在2020年非常火爆,產(chǎn)能?chē)?yán)重短缺,這給各大晶圓代工廠(chǎng)帶來(lái)了巨大的商機(jī)。而從2021年的產(chǎn)業(yè)發(fā)展形勢(shì)來(lái)看,這種短缺狀況在近期內(nèi)還難以緩解。對(duì)此,Counterpoint Research認(rèn)為,2021年,排名靠前的代工廠(chǎng)的成熟制程僅會(huì)分配給特定應(yīng)用。舉例來(lái)說(shuō),即便8英寸晶圓需求強(qiáng)勁,聯(lián)電(UMC)宣布,2021年8英寸晶圓產(chǎn)能僅擴(kuò)充1%-3%。占全球成熟制程產(chǎn)能約10%的中芯國(guó)際由于受到美國(guó)禁令制約,在產(chǎn)能擴(kuò)充上也充滿(mǎn)不確定性。整體而言,這波產(chǎn)能短缺屬于結(jié)構(gòu)性問(wèn)題,要等到2022年所有供應(yīng)鏈都重建好庫(kù)存后才能緩解。

  成熟制程主要用來(lái)制造中小容量的存儲(chǔ)芯片、模擬芯片、MCU、電源管理(PMIC)、模數(shù)混合、傳感器、射頻芯片等。在應(yīng)用層面,云計(jì)算、5G射頻器件需求的快速增長(zhǎng)為成熟制程提供了強(qiáng)勁動(dòng)力。

  晶圓代工業(yè)正在向更加細(xì)分方向發(fā)展,不同于臺(tái)積電和三星追逐先進(jìn)制程,UMC、格芯、 TowerJazz、世界先進(jìn)、華虹宏力等更多關(guān)注于各自擅長(zhǎng)的特色工藝,通過(guò)在已有成熟工藝方面的投入,提升產(chǎn)品性?xún)r(jià)比及競(jìng)爭(zhēng)力。

  從需求側(cè)來(lái)看,特色工藝的市場(chǎng)應(yīng)用前景廣闊,具備吸納更多企業(yè)在各自特色領(lǐng)域內(nèi)做精做強(qiáng)的基礎(chǔ)。目前來(lái)看,MCU、模擬電路和分立器件這三大類(lèi)芯片占整體市場(chǎng)的份額接近 50%,且其發(fā)展更加穩(wěn)健,為特色工藝應(yīng)用提供了基礎(chǔ)。更加值得關(guān)注的是,與先進(jìn)工藝相比,特色工藝在晶圓代工業(yè)務(wù)模式上滲透率相對(duì)較低,傳統(tǒng)邏輯器件方面,除了英特爾外,主要廠(chǎng)商基本采用“設(shè)計(jì)-代工-封測(cè)”的分工合作模式,而在模擬器件、MCU、分立器件領(lǐng)域,仍然以IDM自家生產(chǎn)為主。這使得成熟制程工藝代工業(yè)務(wù)的拓展有了更大的空間。

  另外,特色工藝的供應(yīng)商在盈利能力方面的波動(dòng)性相對(duì)較小,一方面,需求端的穩(wěn)定性使廠(chǎng)商在經(jīng)營(yíng)管理方面的可預(yù)期性更強(qiáng),另一方面,由于制程的成熟度相對(duì)較高,在設(shè)備支出和研發(fā)投入規(guī)模方面,特色工藝廠(chǎng)商相對(duì)較小,使其在成本控制方面具備優(yōu)勢(shì)。

  成熟制程工藝有哪些呢?具體來(lái)看,主要包括以下幾種。

  驅(qū)動(dòng)IC:隨著OLED面板滲透率上升,OLED廠(chǎng)商市占率提高,而傳統(tǒng)OLED DDIC以80nm及以上制程為主,其訂單量上升提高了更高制程節(jié)點(diǎn)的產(chǎn)能。

  電源管理芯片:受益于5G推進(jìn),手機(jī)搭載的數(shù)量大幅增長(zhǎng),且快充芯片的使用量也逐步提升。此外,TWS耳機(jī)等新品的推出也拉動(dòng)了電源管理芯片和NOR Flash需求。傳統(tǒng)PMIC制程節(jié)點(diǎn)為0.18μm /0.11μm,市場(chǎng)需求上漲為該成熟制程和相應(yīng)的特色工藝需求提供了動(dòng)力。

  傳感器:手機(jī)攝像頭數(shù)量不斷提升,其中配套的低像素CIS帶動(dòng)0.18μm等制程節(jié)點(diǎn)需求提升,普通高像素CIS也只需55nm制程節(jié)點(diǎn),進(jìn)一步拉動(dòng)了成熟制程代工需求。指紋識(shí)別方面,手機(jī)領(lǐng)域的屏下光學(xué)、電容側(cè)邊、超聲波等逐步滲透到智能家居、金融、汽車(chē)等領(lǐng)域,該類(lèi)產(chǎn)品多采用0.11μm/0.18μm制程,相應(yīng)的成熟制程和特色工藝平臺(tái)越來(lái)越受歡迎。

  目前,市場(chǎng)對(duì)成熟制程工藝需求迫切,各大晶圓代工廠(chǎng)也都很重視這塊業(yè)務(wù),行業(yè)普遍看好其發(fā)展前景。

  勇攀高峰的先進(jìn)制程

  從目前情況來(lái)看,10nm曇花一現(xiàn),7nm已經(jīng)較為成熟,具有很大的量產(chǎn)規(guī)模,相對(duì)而言,5nm實(shí)現(xiàn)量產(chǎn)時(shí)間不長(zhǎng),且在爬坡階段,而3nm和2nm還未實(shí)現(xiàn)量產(chǎn),這三種制程是最前沿的工藝了,由于都還沒(méi)有達(dá)到成熟階段,都需要產(chǎn)業(yè)鏈上下游各方的共同努力,不斷在研發(fā)層面突破,才能在最終的芯片生產(chǎn)階段保證質(zhì)量和數(shù)量。正所謂兵馬未動(dòng),糧草先行,最先進(jìn)工藝實(shí)現(xiàn)大規(guī)模量產(chǎn)前,裝備、材料等各項(xiàng)技術(shù)的完善和成熟是關(guān)鍵,也是重要保障。

  下面以5nm和3nm(前者剛量產(chǎn),后者將量產(chǎn))為例,看一下最先進(jìn)制程對(duì)產(chǎn)業(yè)的影響。

  目前,只有臺(tái)積電和三星量產(chǎn)了5nm,其中又以臺(tái)積電為主,正處于產(chǎn)能爬坡階段,對(duì)相應(yīng)的設(shè)備和材料等有更多的要求。

  設(shè)備方面,不久前,中國(guó)中微公司董事長(zhǎng)尹志堯表示,該公司開(kāi)發(fā)的12英寸晶圓等離子刻蝕設(shè)備,已經(jīng)進(jìn)入了客戶(hù)的5nm制程生產(chǎn)線(xiàn)。等離子體刻蝕機(jī)是芯片制造中的一種關(guān)鍵設(shè)備,用來(lái)在芯片上進(jìn)行微觀(guān)雕刻,每個(gè)線(xiàn)條和深孔的加工精度都是頭發(fā)絲直徑的幾千分之一到上萬(wàn)分之一,精度控制要求非常高。

  在EUV光刻機(jī)方面,全球僅有ASML一家公司掌握著EUV光刻機(jī)的核心技術(shù),這也是5nm制程必需的設(shè)備,但EUV光刻機(jī)的成本十分高昂,每臺(tái)售價(jià)高達(dá)1.2億美元,幾乎是DUV光刻機(jī)價(jià)格的2倍。

  根據(jù)ASML公司發(fā)布的財(cái)報(bào),2019全年共出貨了26臺(tái)EUV光刻機(jī),2020年交付了30多臺(tái)EUV光刻機(jī),2021年則會(huì)達(dá)到45-50臺(tái)的交付量。這其中很大一部分都供給了臺(tái)積電,用于擴(kuò)充5nm,以及7nm產(chǎn)能。

  5nm并不能單純依靠核心工藝的創(chuàng)新與EUV設(shè)備的加持。從材料角度來(lái)說(shuō),光刻膠等半導(dǎo)體材料的創(chuàng)新也是制程演進(jìn)的關(guān)鍵所在。

  2019年,日韓之間的半導(dǎo)體材料爭(zhēng)端爆發(fā),韓國(guó)用于制造半導(dǎo)體和零部件設(shè)備的光刻膠、高純度氟化氫和含氟聚酰亞胺三大半導(dǎo)體材料,均遭到日本的出口限制,對(duì)韓國(guó)部分重要的產(chǎn)業(yè)發(fā)展造成了不小的影響。

  光刻膠則是這三類(lèi)半導(dǎo)體材料中的重中之重。

  在芯片制造過(guò)程中,曝光、顯影和刻蝕等重要工藝步驟都與光刻膠有關(guān),耗時(shí)占總工藝時(shí)長(zhǎng)的40%至60%,成本也占整個(gè)芯片制造成本的35%。

  有機(jī)光刻膠主要用于90nm到7nm的芯片制造,但隨著制程推進(jìn)到5nm,將開(kāi)始需要無(wú)機(jī)光刻膠。

  目前來(lái)看,中高端光刻膠產(chǎn)品主要還是掌控在日本廠(chǎng)商手中,臺(tái)積電與日本合作伙伴保持著緊密的聯(lián)系。

  掩模方面,家登是臺(tái)積電掩模傳送盒的獨(dú)家供貨商,隨著臺(tái)積電在7nm導(dǎo)入EUV,加上5nm量產(chǎn),EUV掩模傳送盒出貨可望倍增,且導(dǎo)入EUV后,掩??善毓獯螖?shù)為原先四分之一,帶動(dòng)掩模傳送盒需求進(jìn)一步提升。

  3nm方面,為了如期量產(chǎn),臺(tái)積電一直在加大投資力度,2021年全年投資預(yù)估達(dá)到了300億美元,預(yù)計(jì)超過(guò)150億美元會(huì)用于3nm制程。其中,很大一部分都要用于購(gòu)買(mǎi)半導(dǎo)體設(shè)備,涉及的廠(chǎng)商主要有ASML、KLA、應(yīng)用材料等,他們供應(yīng)的光刻機(jī)、蝕刻機(jī)等都是制造3nm制程芯片的重要設(shè)備。

  對(duì)于3nm這樣尖端地制程工藝來(lái)說(shuō),光刻機(jī)地重要性愈加突出,而能提供EUV設(shè)備的,只有ASML一家,因此,該公司對(duì)于臺(tái)積電和三星的重要性也愈加突出,雙方都在盡可能地從ASML那里多獲得一些最先進(jìn)地EUV設(shè)備。

  不久前,ASML CEO Peter Wennink在財(cái)報(bào)會(huì)上指出,5nm制程采用的EUV光罩層數(shù)將超過(guò)10層,3nm制程采用的EUV光罩層數(shù)會(huì)超過(guò)20層,隨著制程微縮EUV光罩層數(shù)會(huì)明顯增加,并取代深紫外光(DUV)多重曝光制程。

  此外,臺(tái)積電在先進(jìn)制程可以一直領(lǐng)先對(duì)手的關(guān)鍵就是封裝。封裝技術(shù)是臺(tái)積電拿下蘋(píng)果訂單的決勝武器。半導(dǎo)體產(chǎn)業(yè)面對(duì)物理極限挑戰(zhàn),為了能在同一顆芯片里裝進(jìn)更多晶體管,于是有了先進(jìn)封測(cè)計(jì)劃。三星就是因?yàn)闆](méi)有這樣的技術(shù),所以才與蘋(píng)果單失之交臂。

  近些年,臺(tái)積電一直在布局先進(jìn)封測(cè)廠(chǎng)。目前,該公司旗下有4座先進(jìn)封測(cè)廠(chǎng),分別是先進(jìn)封測(cè)一廠(chǎng)、先進(jìn)封測(cè)二廠(chǎng)、先進(jìn)封測(cè)三廠(chǎng)和先進(jìn)封測(cè)五廠(chǎng),它們位于竹科、中科、南科、龍?zhí)兜鹊?,苗栗竹南封測(cè)基地將是其第五座先進(jìn)封測(cè)廠(chǎng)。該廠(chǎng)預(yù)計(jì)投資3000億元新臺(tái)幣,位于竹南科學(xué)園區(qū)周邊特定區(qū)、大埔范圍。

  目前來(lái)看,該公司7nm制程芯片封測(cè)工作已經(jīng)能夠自給自足了,5nm的也在不斷擴(kuò)充之中。面向3nm的封測(cè)產(chǎn)線(xiàn)也在建設(shè)當(dāng)中。

  為了滿(mǎn)足5nm及更先進(jìn)制程的需求,臺(tái)積電已建立了整合扇出型(InFO)及CoWoS等封測(cè)產(chǎn)能支持,完成了3D IC封裝技術(shù)研發(fā),包括晶圓堆疊晶圓(WoW)及系統(tǒng)整合單芯片(SoIC)等技術(shù),預(yù)計(jì)竹南廠(chǎng)將以3D IC封裝及測(cè)試產(chǎn)能為主,計(jì)劃今年量產(chǎn)。

  結(jié)語(yǔ)

  成熟制程與最先進(jìn)制程,它們特點(diǎn)分明,前者顯得廣闊,后者則越來(lái)越高聳,而當(dāng)下的產(chǎn)業(yè)環(huán)境,為二者都提供了良好的發(fā)展空間,可以最大化地發(fā)揮各自的優(yōu)勢(shì)。


中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0