3nm芯片爭奪戰(zhàn)打響

時間:2022-03-01

來源:

導(dǎo)語:時至今日,芯片設(shè)計巨頭的高端系列芯片已經(jīng)在7nm及以下的先進制程中搏斗。不僅如此,先進制程更是成為了臺積電等代工龍頭的“吸金密碼”。

  2021年臺積電總營收為15874.2億新臺幣(約合人民幣3658.3億元),其中,5納米和7納米合計營收占總營收50%,可以說扛起了臺積電營收的半壁江山。

  然而,隨著高性能計算需求的增加,芯片制程的戰(zhàn)火也逐漸從5nm蔓延到了3nm。3nm爭奪戰(zhàn)的“槍聲”已經(jīng)打響。

  一、設(shè)計企業(yè)的“產(chǎn)能之爭”

  當(dāng)前芯片已經(jīng)來到了先進制程時代,鑒于能采用先進制程的代工廠只有臺積電和三星兩家,而需要先進制程工藝的芯片設(shè)計企業(yè)卻有英特爾、蘋果、高通、AMD、英偉達等數(shù)家,實打?qū)嵦幱凇吧嗳馍佟钡木置妗π酒髽I(yè)來說,芯片工藝的比拼其實就是產(chǎn)品性能的比拼,為了不輸給對手,芯片企業(yè)不得不開始上演一場“產(chǎn)能爭奪戰(zhàn)”。

  從已經(jīng)量產(chǎn)的5nm來看,業(yè)界傳聞英偉達上個季度向臺積電支付了 16.4 億美元以保留其在 5nm中的份額,另外 17.9 億美元將在 2022 年第一季度支付。據(jù)悉,英偉達將花費近 100 億美元來確保其為 RTX 4080、4090 及其 40 系列提供 5nm 的芯片供應(yīng)。

  對于一個量產(chǎn)近2年,可以稱得上是穩(wěn)定良率的制程,英偉達都要斥百億美元的巨資確保產(chǎn)能,可想而知,面對一個全新的制程,廠商的競爭只會更激烈,這決定著誰會是全球首款3納米芯片。

  目前來看,英特爾、蘋果、高通、AMD等都已加入戰(zhàn)局。

  英特爾

  雖然英特爾是IDM企業(yè),但目前他在先進制程方面離3nm仍有距離。在2022年投資者大會上,英特爾曾表示Intel 4也就是7nm預(yù)計在2022年下半年投產(chǎn);Intel 3(第二代7nm)預(yù)計在2023年下半年投產(chǎn);Intel 20A(5nm)將于2024年投產(chǎn);Intel 18A(第二代5nm)預(yù)計在2025年投產(chǎn)。

105821563879.png

  換句話說,英特爾的3nm還遙遙無期,但技術(shù)競爭并不會給英特爾喘息時間,此前由于英特爾無法按時生產(chǎn)7nm,導(dǎo)致AMD在CPU技術(shù)上領(lǐng)先,為了重返霸主寶座,英特爾必須爭3nm。也正是因為這個原因,英特爾成為了臺積電3nm 產(chǎn)能的競爭者之一,與蘋果分庭抗禮。

  去年有消息稱,英特爾擠掉蘋果成為臺積電3nm工藝首批客戶,主要生產(chǎn)其下一代處理器和圖形產(chǎn)品。當(dāng)時的供應(yīng)鏈指出,英特爾下給臺積電的產(chǎn)品包括一顆圖形處理器及三個服務(wù)器處理器,首批數(shù)量約4000片,預(yù)計2022年5月正式產(chǎn)出交貨,7月放量生產(chǎn)。

  據(jù)業(yè)界報道,為了爭搶產(chǎn)能,去年12月,英特爾CEO基爾辛格曾乘坐私人專機訪臺,希望爭取到未來2~3年更多臺積電先進工藝產(chǎn)能,涵蓋制程包括7nm及優(yōu)化后的6nm、5nm及優(yōu)化后的4nm、以及3nm等。當(dāng)時傳言指出,對于3nm工藝,英特爾提出希望能與蘋果一樣,臺積電能夠為英特爾建造一條3nm產(chǎn)能特供專線。

  一個月后,也就是2022年1月,就有消息傳出臺積電計劃在其中國臺灣北部的新生產(chǎn)基地專門開辟新產(chǎn)線為英特爾生產(chǎn)3納米芯片,該生產(chǎn)基地位于新竹市寶山區(qū)。該消息人士稱,英特爾希望臺積電利用3nm制造工藝,為其生產(chǎn)CPU和GPU的零部件。

  從上述來看,英特爾在爭3nm產(chǎn)能中是打了一場漂亮的仗。

  蘋果

  在此前發(fā)布的芯片線路圖中,蘋果預(yù)期在2023年發(fā)布基于3nm的第三代M系列芯片,并選擇由臺積電代工。

  2020年時候,關(guān)于臺積電3nm產(chǎn)能的新聞還都是“蘋果是第一個和臺積電簽約3nm制程產(chǎn)能的廠商”、“全是蘋果的,臺積電3nm首批產(chǎn)能,iPhone全包”諸如此類,但計劃永遠趕不上變化,到了2021年下半年,英特爾的“橫插一腳”讓蘋果失去了臺積電3nm“獨寵”的資格。

  作為臺積電十年老客戶且還是最大的客戶,蘋果擁有的3nm產(chǎn)能應(yīng)該不會少到哪里去,目前來看,為了臺積電的3nm產(chǎn)能,蘋果已經(jīng)預(yù)付了大量的款項。但在4nm方面,蘋果曾不得不接受臺積電的漲價(但漲幅將低于其它先進制程客戶)以確保產(chǎn)能,并包下12萬片-15萬片4nm產(chǎn)能(2022年平均價格約較2021年上漲8%-10%),到了3nm階段,多少可能也會“歷史再現(xiàn)”。

  高通

  去年12月曾有消息稱高通有意導(dǎo)入三星 3nm 制程,但從最近的消息來看,情勢可能生變。據(jù)韓媒報道,高通據(jù)稱已將3nm AP處理器的訂單交給臺積電,將于明年推出,而造成訂單轉(zhuǎn)移的原因在于,三星的先進工藝節(jié)點面臨產(chǎn)量問題。

  高通也是臺積電的重量級客戶,此前有意將3nm訂單交于三星也是因為爭不過蘋果在臺積電的地位,為了確保足夠的產(chǎn)能才轉(zhuǎn)向三星,如今再傳出將訂單交給臺積電說到底也是因為擔(dān)心三星產(chǎn)量問題。

  AMD

  據(jù)臺媒《工商時報》去年5月報道,AMD 已向臺積電預(yù)訂2022及后兩年 5nm 及 3nm 產(chǎn)能,預(yù)計 2022 年推出 5nm Zen 4 架構(gòu)處理器,2023年~2024 年間將推出 3nm Zen 5 架構(gòu)處理器。不過和高通一樣,AMD也曾傳出了因為產(chǎn)能問題試圖轉(zhuǎn)單三星的消息,由此看出,3nm產(chǎn)能不夠可能將成為臺積電損失客戶的“原罪”。

  從當(dāng)前的局勢來看,隨著本土GPU、DPU廠商的崛起,未來會有越來越多企業(yè)加入3nm的產(chǎn)能爭奪戰(zhàn)之中,屆時局面相比當(dāng)下或許會更加熱鬧。

  二、代工廠的“3nm之戰(zhàn)”

  在芯片設(shè)計企業(yè)還在為產(chǎn)能“明爭暗斗”的時候,晶圓制造領(lǐng)域又是另外一番景象。對晶圓制造廠來說,眼下更重要的是3nm的突破。誰率先量產(chǎn)了3nm,誰就將占領(lǐng)未來晶圓制造產(chǎn)業(yè)的制高點,甚至還會影響AMD、英偉達等芯片巨頭的產(chǎn)品路線圖。

  毫無疑問,在3nm這個節(jié)點,目前能一決雌雄的只有臺積電和三星,但英特爾顯然也在往先進制程方面發(fā)力。不過從近日的消息來看,臺積電和三星兩家企業(yè)在量產(chǎn)3nm這件事上進行的都頗為坎坷。Gartner 分析師 Samuel Wang表示,3nm 的斜坡將比之前的節(jié)點花費更長的時間。

  臺積電

  近日,一份引用半導(dǎo)體行業(yè)消息來源的報告表明,據(jù)報道,臺積電在其 3nm 工藝良率方面存在困難。消息來源報告的關(guān)鍵傳言是臺積電發(fā)現(xiàn)其 3nm FinFET 工藝很難達到令人滿意的良率。但到目前為止,臺積電尚未公開承認任何 N3 延遲,相反其聲稱“正在取得良好進展”。

  眾所周知,臺積電3nm在晶體管方面采用鰭式場效應(yīng)晶體管(FinFET)結(jié)構(gòu),F(xiàn)inFET運用立體的結(jié)構(gòu),增加了電路閘極的接觸面積,進而讓電路更加穩(wěn)定,同時也達成了半導(dǎo)體制程持續(xù)微縮的目標。

  其實,F(xiàn)inFET晶體管走在3nm多多少少已是極限了,再向下將會遇到制程微縮而產(chǎn)生的電流控制漏電等物理極限問題,而臺積電之所以仍選擇其很大部分原因是不用變動太多的生產(chǎn)工具,也能有較具優(yōu)勢的成本結(jié)構(gòu)。特別對于客戶來說,既不用有太多設(shè)計變化還能降低生產(chǎn)成本,可以說是雙贏局面。

  從此前公開數(shù)據(jù)顯示,與5nm芯片相比,臺積電3nm芯片的邏輯密度將提高75%,效率提高15%,功耗降低30%。據(jù)悉,臺積電 3nm 制程已于2021年3 月開始風(fēng)險性試產(chǎn)并小量交貨,預(yù)計將在2022年下半年開始商業(yè)化生產(chǎn)。

105821863152.png

  來源:臺積電

  從工廠方面來看,中國臺灣南科18廠四至六期是臺積電3nm量產(chǎn)基地??蛻舴矫?,從上文可以看出,英特爾、蘋果、高通等都選擇了臺積電。大摩分析師Charlie Chan日前發(fā)表報告稱,臺積電在2023年的3nm芯片代工市場上幾乎是壟斷性的,市場份額接近100%。

  三星

  不同于臺積電在良率方面的問題,三星在3nm的困難是3 納米GAA 制程建立專利IP 數(shù)量方面落后。據(jù)南韓媒體報道,三星缺乏3 納米GAA 制程相關(guān)專利,令三星感到不安。

  三星在晶體管方面采用的是柵極環(huán)繞型(Gate-all-around,GAA)晶體管架構(gòu)。相比臺積電的FinFET晶體管,基于GAA的3nm技術(shù)成本肯定較高,但從性能表現(xiàn)上來看,基于GAA架構(gòu)的晶體管可以提供比FinFET更好的靜電特性,滿足一定的珊極寬度要求,可以表現(xiàn)為同樣工藝下,使用GAA架構(gòu)可以將芯片尺寸做得更小。

  與5nm制造工藝相比,三星的3nm GAA技術(shù)的邏輯面積效率提高了35%以上,功耗降低了50%,性能提高了約30%。三星在去年6月正式宣布3nm工藝制程技術(shù)已經(jīng)成功流片。此外,三星還曾宣布將在 2022 年推出 3nm GAA 的早期版本,而其“性能版本”將在 2023 年出貨。

  目前,在工廠方面,此前有消息稱三星可能會在美國投資170億美元建設(shè)3nm芯片生產(chǎn)線。在客戶方面,三星未有具體透露,但曾有消息稱高通、AMD 等臺積電重量級客戶都有意導(dǎo)入三星 3nm 制程,但介于上述提到的韓媒報道高通已將其3nm AP處理器的代工訂單交給臺積電,三星3nm客戶仍成謎。

  英特爾

  在Pat Gelsinger于去年擔(dān)任英特爾CEO之后,這家曾經(jīng)在代工領(lǐng)域試水的IDM巨頭又重新回到了這個市場。同時,他們還提出了很雄壯的野心。

  在本月18日投資人會議上,英特爾CEO Pat Gelsinger再次強調(diào),英特爾2nm制程將在2024年上半年可量產(chǎn),這個量產(chǎn)時間早于臺積電,意味2年后晶圓代工業(yè)務(wù)與臺積電競爭態(tài)勢會更白熱化。

  雖然在3nm工藝方面,英特爾沒有過多的透露,但是Digitimes去年的研究報告分析了臺積電、三星、Intel及IBM四家廠商在相同命名的半導(dǎo)體制程工藝節(jié)點上的晶體管密度問題,并對比了各家在10nm、7nm、5nm、3nm及2nm的晶體管密度情況。

  報告顯示,到了3nm節(jié)點,臺積電的晶體管密度大約是2.9億個/mm2,三星只有1.7億個/mm2,英特爾將達到5.2億個/mm2。英特爾的晶體管密度比臺積電高出了超過79%,達到了三星2倍以上。因此就摩爾定律關(guān)注的晶體管密度指標來看,在同一制程工藝節(jié)點上,英特爾相比臺積電、三星更新一代的制程工藝具有一定的優(yōu)勢。

  在工廠方面,英特爾曾強調(diào)將斥資800億歐元在歐洲設(shè)廠,英特爾德國負責(zé)人Christin Eisenschmid受訪時透露,將在歐洲生產(chǎn)2nm或推進更小的芯片。英特爾將2nm作為擴大歐洲生產(chǎn)能力的重要關(guān)鍵,以避免未來在先進技術(shù)競爭中落后。

  總的來說,在3nm節(jié)點,臺積電、三星和英特爾誰會是最后的贏家可能只有交給時間來判定,但從目前情勢來看,臺積電或略勝一籌。

  三、3nm后的解法

  3nm已經(jīng)到了摩爾定律的物理極限,往后又該如何發(fā)展?這已經(jīng)成為全球科研人員亟待尋求的解法。目前,研究人員大多試圖在晶體管技術(shù)、材料方面尋求破解之法。

  GAA晶體管

  上述三星在3nm制程中使用的GAA晶體管就是3nm后很好的選擇,GAA設(shè)計通道的四個面周圍有柵極,可減少漏電壓并改善對通道的控制,這是縮小工藝節(jié)點時的關(guān)鍵。據(jù)報道,臺積電在2nm工藝上也將采用GAA晶體管。

  納米線

  納米線是直徑在納米量級的納米結(jié)構(gòu)。納米線技術(shù)的基本吸引力之一是它們表現(xiàn)出強大的電學(xué)特性,包括由于其有效的一維結(jié)構(gòu)而產(chǎn)生的高電子遷移率。

  最近,來自 HZDR 的研究人員宣布,他們已經(jīng)通過實驗證明了長期以來關(guān)于張力下納米線的理論預(yù)測。在實驗中,研究人員制造了由 GaAs 核心和砷化銦鋁殼組成的納米線。最后,結(jié)果表明,研究人員確實可以通過對納米線施加拉伸應(yīng)變來提高納米線的電子遷移率。測量到未應(yīng)變納米線和塊狀 GaAs 的相對遷移率增加約為 30%。研究人員認為,他們可以在具有更大晶格失配的材料中實現(xiàn)更顯著的增加。

  堆疊叉片式晶體管技術(shù)

  最近,英特爾一項關(guān)于“堆疊叉片式晶體管(stacked forksheet transistors)”的技術(shù)專利引起了人們的注意。

  英特爾表示,新的晶體管設(shè)計最終可以實現(xiàn)3D和垂直堆疊的CMOS架構(gòu),與目前最先進的三柵極晶體管相比,該架構(gòu)允許增加晶體管的數(shù)量。在專利里,英特爾描述了納米帶晶體管和鍺薄膜的使用,后者將充當(dāng)電介質(zhì)隔離墻,在每個垂直堆疊的晶體管層中重復(fù),最終取決于有多少個晶體管被相互堆疊在一起。

  據(jù)了解,英特爾并不是第一家引用這種制造方法的公司,比利時研究小組Imec在2019年就曾提出這個方法,根據(jù) Imec 的第一個標準單元模擬結(jié)果,當(dāng)應(yīng)用于 2nm 技術(shù)節(jié)點時,與傳統(tǒng)的納米片方法相比,該技術(shù)可以顯著提高晶體管密度。

  垂直傳輸場效應(yīng)晶體管

  垂直傳輸場效應(yīng)晶體管(VTFET)由IBM和三星共同公布,旨在取代當(dāng)前用于當(dāng)今一些最先進芯片的FinFET技術(shù)。新技術(shù)將垂直堆疊晶體管,允許電流在晶體管堆疊中上下流動,而不是目前大多數(shù)芯片上使用的將晶體管平放在硅表面上,然后電流從一側(cè)流向另一側(cè)。

  據(jù) IBM 和三星稱,這種設(shè)計有兩個優(yōu)點。首先,它將允許繞過許多性能限制,將摩爾定律擴展到 1 納米閾值之外。同時還可以影響它們之間的接觸點,以提高電流并節(jié)約能源。他們表示,該設(shè)計可能會使性能翻倍,或者減少85%的能源消耗。

  其實,對于3nm以后先進制程如何演進,晶體管制造只是解決方案的一部分,芯片設(shè)計也至關(guān)重要,需要片上互連、組裝和封裝等對器件和系統(tǒng)性能的影響降至最低。

  四、寫在最后

  關(guān)于3nm的爭奪,上面只是講述了冰山一角。其實圍繞著整個產(chǎn)業(yè)鏈的每一個環(huán)節(jié),幾乎都有多家廠商在上面進行爭奪。這也正是集成電路發(fā)展至今的關(guān)鍵。

  另外,雖然摩爾定律已經(jīng)到了極限,但是無論設(shè)計企業(yè)還是制造廠又或是封裝廠,他們對于先進制程研發(fā)的步伐不能停止。往小了說,先進制程關(guān)乎產(chǎn)業(yè)鏈的發(fā)展,往大了說,先進制程關(guān)乎著國家安全。未來世界科技為王,落后就要挨打,這是金規(guī)鐵律。


中傳動網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來源:中國傳動網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動網(wǎng)(www.treenowplaneincome.com)獨家所有。如需轉(zhuǎn)載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個人轉(zhuǎn)載使用時須注明來源“中國傳動網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請保留稿件來源及作者,禁止擅自篡改,違者自負版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問題,請在作品發(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運動控制公眾號獲取更多資訊

關(guān)注直驅(qū)與傳動公眾號獲取更多資訊

關(guān)注中國傳動網(wǎng)公眾號獲取更多資訊

最新新聞
查看更多資訊

熱搜詞
  • 運動控制
  • 伺服系統(tǒng)
  • 機器視覺
  • 機械傳動
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機器人
  • 低壓電器
  • 機柜
回頂部
點贊 0
取消 0