芯片國(guó)產(chǎn)化深度報(bào)告!設(shè)備、零部件、材料紛紛走上快車(chē)道

時(shí)間:2022-09-01

來(lái)源:機(jī)器人在線

導(dǎo)語(yǔ):芯源微前道涂膠顯影設(shè)備28nm以上技術(shù)和高產(chǎn)能結(jié)構(gòu)取得進(jìn)展,實(shí)現(xiàn)了各種核心部件的國(guó)內(nèi)替代。公司前道物理清洗設(shè)備達(dá)到國(guó)際先進(jìn)水平,成功實(shí)現(xiàn)國(guó)內(nèi)替代,前道產(chǎn)品在新訂單結(jié)構(gòu)中的比例顯著提高;

  半導(dǎo)體設(shè)備:世界較大市場(chǎng),國(guó)內(nèi)替代加速

  2020年~2022年國(guó)內(nèi)晶圓廠總投資約1500/1400/1200億元,其中國(guó)內(nèi)晶圓廠總投資約1000/1200/1100億元。2020~2022年國(guó)內(nèi)晶圓廠投資將是歷史上最高的三年,未來(lái)還有新項(xiàng)目的可能。

  在生產(chǎn)效率和降低成本因素的推動(dòng)下,全球8英寸生產(chǎn)放緩,12英寸晶圓廠生產(chǎn)如火如荼。2020年以來(lái),國(guó)內(nèi)12英寸晶圓廠遍地開(kāi)花。除中芯國(guó)際外,文泰、格科微、海芯等公司計(jì)劃建設(shè)12英寸晶圓廠、粵芯半導(dǎo)體、華虹無(wú)錫等12英寸生產(chǎn)線。

  根據(jù)SEMI,2019年至2024年,世界上至少有38家12英寸晶圓廠,其中臺(tái)灣11家,中國(guó)大陸8家。到2024年,中國(guó)12英寸晶圓的生產(chǎn)能力將占世界的20%左右。大量晶圓廠的擴(kuò)建和投產(chǎn),將帶動(dòng)對(duì)上游半導(dǎo)體設(shè)備的需求增加,有望為國(guó)產(chǎn)設(shè)備開(kāi)辟發(fā)展空間。

  2021年,中芯國(guó)際資本支出保持高位,達(dá)到45億美元(大部分用于擴(kuò)大成熟過(guò)程,特別是8寸擴(kuò)大4.預(yù)計(jì)2022年將達(dá)到50億美元,5萬(wàn)片/月)。2021年華虹全年資本支出9000元.39億美元,其中8億美元.12英寸擴(kuò)產(chǎn)39億美元,0.8英寸產(chǎn)能99億美元。

  2022年,公司規(guī)劃資本支出超過(guò)15億美元,其中12寸產(chǎn)能從65寸開(kāi)始K增加到95K,預(yù)計(jì)資本支出為14億$,8寸廠升級(jí)提高效率,預(yù)計(jì)支出約14億$.8億美元。根據(jù)公司20222年的情況,Q1、華虹無(wú)錫二期規(guī)劃開(kāi)始,特色工藝平臺(tái)技術(shù)延伸,相關(guān)工作正在推進(jìn)中。

  合肥長(zhǎng)鑫從19nm向17nm轉(zhuǎn)移,加快技術(shù)進(jìn)步,在北京設(shè)廠進(jìn)一步擴(kuò)產(chǎn)。2019年,長(zhǎng)江倉(cāng)儲(chǔ)開(kāi)始量產(chǎn)64層33層DNAND,2020年4月發(fā)布128層3DNAND,2022年8月正式啟動(dòng)Xtacking3.第四代0技術(shù)TLC三維閃存X與上一代產(chǎn)品相比,存儲(chǔ)密度更高,I/O速度更快,高達(dá)2400MT/s,提高50%,采用60%,-plane在性能提高50%以上的情況下,設(shè)計(jì)功耗降低25%。新產(chǎn)品推出時(shí),公司加快了追趕步伐,進(jìn)一步縮小了與海外龍頭的差距。

  長(zhǎng)江存儲(chǔ)二期總產(chǎn)能30萬(wàn)片/月,合肥長(zhǎng)新計(jì)劃三期產(chǎn)能,全部投產(chǎn)后達(dá)到36萬(wàn)片/月。長(zhǎng)江存儲(chǔ),合肥長(zhǎng)新作為國(guó)內(nèi)存儲(chǔ)產(chǎn)業(yè)發(fā)展的重要城鎮(zhèn),在開(kāi)啟國(guó)內(nèi)存儲(chǔ)產(chǎn)業(yè)替代方面發(fā)揮著重要作用。而國(guó)內(nèi)存儲(chǔ)行業(yè)將對(duì)半導(dǎo)體設(shè)備和材料起到重要的推動(dòng)作用。

  根據(jù)SEMI,2021年,半導(dǎo)體設(shè)備銷(xiāo)售額達(dá)到1026億美元,同比激增44%,年銷(xiāo)售額創(chuàng)歷史新高。2013年以前,大陸設(shè)備市場(chǎng)占全球比例不到10%,2014年~2017年提升至10~20%,2018年以后保持在20%以上,份額呈逐年上升趨勢(shì)。2020-2021年,國(guó)內(nèi)晶圓廠投入建設(shè),半導(dǎo)體行業(yè)投入增加陸半導(dǎo)體設(shè)備市場(chǎng)規(guī)模首次,2021年達(dá)到296年.2億美元,同比增長(zhǎng)58%,占28%.9%。展望2022年存儲(chǔ)需求復(fù)蘇,韓國(guó)有望領(lǐng)先世界,但大陸設(shè)備市場(chǎng)規(guī)模有望保持較高比例。

  目前,國(guó)內(nèi)國(guó)產(chǎn)化逐步起航,從0到1的過(guò)程基本完成。北方華創(chuàng)產(chǎn)品布局廣泛,刻蝕機(jī),PVD,CVD,氧化/擴(kuò)散爐、退火爐、清洗機(jī)、ALD新設(shè)備產(chǎn)品市場(chǎng)引進(jìn)步伐加快,產(chǎn)品工藝覆蓋率和客戶滲透率進(jìn)一步提高,集成電路主流生產(chǎn)線批量銷(xiāo)售,產(chǎn)品迭代加快;第三代半導(dǎo)體,新顯示,光伏設(shè)備產(chǎn)品線進(jìn)一步拓寬,出貨量快速增長(zhǎng)。

  拓荊科技是中國(guó)唯一的工業(yè)應(yīng)用PECVD和SACVD設(shè)備供應(yīng)商,PECVD共發(fā)貨150臺(tái),廣泛應(yīng)用于中芯國(guó)際、華虹集團(tuán)、長(zhǎng)江倉(cāng)儲(chǔ)、合肥長(zhǎng)鑫、廈門(mén)聯(lián)芯、燕東微電子等國(guó)內(nèi)主流晶圓廠,PEALD實(shí)現(xiàn)銷(xiāo)售;

  中微介質(zhì)刻蝕機(jī)已進(jìn)入5臺(tái)nm新型高性能工藝Mini-LED量產(chǎn)的MOCVD設(shè)備UniMax2022Q訂單已超過(guò)180腔;

  芯源微前道涂膠顯影設(shè)備28nm以上技術(shù)和高產(chǎn)能結(jié)構(gòu)取得進(jìn)展,實(shí)現(xiàn)了各種核心部件的國(guó)內(nèi)替代。公司前道物理清洗設(shè)備達(dá)到國(guó)際先進(jìn)水平,成功實(shí)現(xiàn)國(guó)內(nèi)替代,前道產(chǎn)品在新訂單結(jié)構(gòu)中的比例顯著提高;

  芯片

  華海清科CMP設(shè)備在邏輯芯片中,3DNAND,DRAM制造等領(lǐng)域的工藝技術(shù)水平已分別突破14nm,128層,1X/1Ynm,到2021年底,公司CMP設(shè)備共出貨140多臺(tái),未發(fā)出產(chǎn)品的在手訂單超過(guò)70臺(tái)。

  Mattson(一唐半導(dǎo)體)在去膠設(shè)備市場(chǎng)占世界第二;盛美半導(dǎo)體單片清洗機(jī)在海力士,長(zhǎng)存,SMIC等生產(chǎn)線量產(chǎn)。精測(cè)電子,上海瑞麗在測(cè)量領(lǐng)域突破了國(guó)外壟斷。

  從國(guó)內(nèi)晶圓廠的招標(biāo)情況來(lái)看,設(shè)備的各個(gè)環(huán)節(jié)都取得了突破。2022年上半年,華虹無(wú)錫招標(biāo)刻蝕設(shè)備49臺(tái),其中Lam中標(biāo)26臺(tái),TEL中標(biāo)5臺(tái),中微公司中標(biāo)13臺(tái),北方華創(chuàng)中標(biāo)4臺(tái)。中微公司中標(biāo)的13臺(tái)是氧化膜等離子體蝕刻機(jī)8臺(tái),鈍化膜等離子體蝕刻機(jī)3臺(tái),氮化硅等離子體蝕刻機(jī)2臺(tái);北風(fēng)華創(chuàng)分別中標(biāo)多晶格柵等離子體蝕刻機(jī)2臺(tái),有源區(qū)等離子體蝕刻機(jī)2臺(tái)。

  積塔2022H招標(biāo)刻蝕設(shè)備29臺(tái),其中北方華創(chuàng)中標(biāo)13臺(tái),中微公司中標(biāo)8臺(tái),TEL中標(biāo)3臺(tái),Lam中標(biāo)2臺(tái)。北方華創(chuàng)中標(biāo)設(shè)備13臺(tái),金屬等離子刻蝕機(jī)7臺(tái),多晶硅刻蝕機(jī)5臺(tái),鋁刻蝕機(jī)1臺(tái)。中微公司中標(biāo)鈍化層等離子刻蝕機(jī)7臺(tái),通孔深隔離槽鈍化層介質(zhì)層刻蝕機(jī)1臺(tái)。

  根據(jù)長(zhǎng)江存儲(chǔ)中標(biāo)信息,截至2021年底,長(zhǎng)江存儲(chǔ)共招標(biāo)刻蝕設(shè)備452臺(tái),其中長(zhǎng)江存儲(chǔ)共招標(biāo)刻蝕設(shè)備452臺(tái)。Lam236臺(tái),TEL61臺(tái),中微公司59臺(tái),應(yīng)用材料38臺(tái),北方華創(chuàng)26臺(tái),SCREEN13臺(tái),一唐半導(dǎo)體11臺(tái)。北方華創(chuàng)在刻蝕領(lǐng)域的布局集中在硅刻蝕上,設(shè)備類別對(duì)標(biāo)Lam,仍有較大的潛力空間。

  以LamResearch例如,為例NandFlash在生產(chǎn)線上,只有一個(gè)類別的刻蝕機(jī),供應(yīng)的設(shè)備數(shù)量接近40個(gè)不同的工藝環(huán)節(jié),其中大部分都是獨(dú)家的,尤其是深孔、深溝等環(huán)節(jié)。中微公司的刻蝕設(shè)備種類繁多,主要布局在介質(zhì)刻蝕領(lǐng)域。北方華創(chuàng)在刻蝕領(lǐng)域的布局集中在硅刻蝕上,設(shè)備類別對(duì)標(biāo)Lam,仍有較大的潛力空間。

  隨著半導(dǎo)體市場(chǎng)晶圓代工的持續(xù)擴(kuò)產(chǎn),對(duì)于晶圓制造中不可缺失的基礎(chǔ)材料將會(huì)有著非常大的需求拉動(dòng),而在此階段我們可以看到隨著技術(shù)及工藝的推進(jìn)以及中國(guó)電子產(chǎn)業(yè)鏈逐步的完善,在材料領(lǐng)域已經(jīng)開(kāi)始涌現(xiàn)出各類已經(jīng)進(jìn)入批量生產(chǎn)及供應(yīng)的廠商。


中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0