臺(tái)積電重點(diǎn)研發(fā)中心啟用:聚合8000人,承擔(dān)未來(lái)30年的研發(fā)大計(jì)

時(shí)間:2023-07-28

來(lái)源:中國(guó)傳動(dòng)網(wǎng)

導(dǎo)語(yǔ):臺(tái)積電全球研發(fā)中心于今(28)日上午10點(diǎn)半舉行啟用典禮,外界預(yù)估創(chuàng)辦人張忠謀將出席。先前有媒體報(bào)導(dǎo),臺(tái)積電全球研發(fā)中心屆時(shí)將招募8000名研發(fā)人員,初期先進(jìn)駐2000人。

  臺(tái)積電全球研發(fā)中心于今(28)日上午10點(diǎn)半舉行啟用典禮,外界預(yù)估創(chuàng)辦人張忠謀將出席。先前有媒體報(bào)導(dǎo),臺(tái)積電全球研發(fā)中心屆時(shí)將招募8000名研發(fā)人員,初期先進(jìn)駐2000人。

  臺(tái)積電董事長(zhǎng)劉德音之前曾表示,將打造全球研發(fā)中心為「臺(tái)版貝爾實(shí)驗(yàn)室」,相關(guān)專(zhuān)利數(shù)也曝光,讓海內(nèi)外半導(dǎo)體業(yè)界都相當(dāng)關(guān)注。他也指出,希望這里能負(fù)責(zé)公司在未來(lái)20、30年間的研發(fā)大計(jì)。

  臺(tái)積電去年12月底于南科舉行3納米量產(chǎn)暨擴(kuò)廠典禮,會(huì)上董事長(zhǎng)劉德音就提到,未來(lái)10年將是半導(dǎo)體產(chǎn)業(yè)快速成長(zhǎng)的時(shí)代,臺(tái)灣會(huì)在世界經(jīng)濟(jì)發(fā)展中扮演更重要的角色,也強(qiáng)調(diào)公司會(huì)繼續(xù)保持技術(shù)領(lǐng)先、深耕臺(tái)灣,那時(shí)他也預(yù)告2023年第二季臺(tái)積電全球研發(fā)中心將在竹科正式開(kāi)幕。

  臺(tái)積電全球研發(fā)中心于今日上午正式啟用,臺(tái)積電先前在7月21日發(fā)出媒體邀請(qǐng),雖然并未預(yù)告有哪些嘉賓到場(chǎng),但外界認(rèn)為創(chuàng)辦人張忠謀將出席。劉德音之前曾表示要把全球研發(fā)中心打造成臺(tái)版貝爾實(shí)驗(yàn)室,以做為全球布局的后盾。

  貝爾實(shí)驗(yàn)室堪稱(chēng)為20世界最偉大的實(shí)驗(yàn)室,原是美國(guó)電信巨擘AT&T設(shè)立,該機(jī)構(gòu)為全世界帶來(lái)許多創(chuàng)新技術(shù)與產(chǎn)品,包括第一臺(tái)傳真機(jī)、按鍵電話、數(shù)字?jǐn)?shù)據(jù)機(jī)、通信衛(wèi)星、高速無(wú)線數(shù)據(jù)系統(tǒng)等,號(hào)稱(chēng)「一日至少一專(zhuān)利」。貝爾實(shí)驗(yàn)室也是全球獲頒最多諾貝爾獎(jiǎng)的機(jī)構(gòu),人類(lèi)通信從電話到手機(jī),再衍伸到手機(jī)移動(dòng)裝置的其他功能,貝爾實(shí)驗(yàn)室可說(shuō)是先驅(qū)。

  臺(tái)積電創(chuàng)辦人張忠謀曾說(shuō),臺(tái)積電的核心價(jià)值就是誠(chéng)信正直、承諾與創(chuàng)新,其中創(chuàng)新是臺(tái)積電的成長(zhǎng)泉源,多年來(lái)堅(jiān)持自主技術(shù),2022年臺(tái)積電研發(fā)經(jīng)費(fèi)高達(dá)54.72億美元(約新臺(tái)幣1670億元),占營(yíng)收比重至7.2%,預(yù)計(jì)今年研發(fā)支出將增加,比重也將來(lái)到8%以上,其研發(fā)經(jīng)費(fèi)遠(yuǎn)遠(yuǎn)超越對(duì)手英特爾與三星。

  今年初經(jīng)濟(jì)部智慧局也揭示,在國(guó)內(nèi),發(fā)明、新型、設(shè)計(jì)三種專(zhuān)利申請(qǐng)部分,臺(tái)積電以1534件七度居冠。全球?qū)@麛?shù)也很驚人!目前全球?qū)@@證總數(shù)超過(guò)57000件,2022年躍居全美第二大專(zhuān)利申請(qǐng)人,值得一提的是臺(tái)積電在臺(tái)、美2022年專(zhuān)利獲準(zhǔn)率均高達(dá)100%。

  針對(duì)臺(tái)積電成立全球研發(fā)中心,臺(tái)經(jīng)院產(chǎn)經(jīng)資料庫(kù)總監(jiān)劉佩真指出,顯示臺(tái)積電視臺(tái)灣為研發(fā)、先進(jìn)制程與先進(jìn)封裝的生產(chǎn)重鎮(zhèn)與重心,研發(fā)中心的啟用,將持續(xù)擴(kuò)增研發(fā)人員,引領(lǐng)臺(tái)積電往更先進(jìn)制程邁進(jìn),這也讓臺(tái)灣半導(dǎo)體產(chǎn)業(yè)鏈吃下定心丸。且看好AI潮流,臺(tái)積電日前也宣布要再興建以CoWoS技術(shù)為主的封測(cè)廠,主要是臺(tái)灣建置成本低,更重要是人員可彈性調(diào)配,預(yù)期臺(tái)積電未來(lái)投資將持續(xù)深耕臺(tái)灣,但會(huì)指標(biāo)性放眼全球幾個(gè)重點(diǎn)國(guó)家,像是美國(guó)與日本,下一步可能就是歐洲德國(guó)。

  臺(tái)積電未來(lái),靠這些技術(shù)了

  隨著AI、5G和其他先進(jìn)制程技術(shù)的發(fā)展,全世界正透過(guò)智慧邊緣網(wǎng)絡(luò)產(chǎn)生大量的運(yùn)算工作負(fù)載,需要更快、更節(jié)能的芯片來(lái)滿足此需求。

  預(yù)計(jì)到2030年,因需求激增,全球半導(dǎo)體市場(chǎng)約1兆美元,其中高效能運(yùn)算(HPC)相關(guān)應(yīng)用占40%、智能型手機(jī)占30%、汽車(chē)占15%、物聯(lián)網(wǎng)占10%。- 臺(tái)積公司與其合作伙伴在2022年共創(chuàng)造了超過(guò)12,000種創(chuàng)新產(chǎn)品,運(yùn)用近300種不同的臺(tái)積公司技術(shù)。

  臺(tái)積公司持續(xù)投資先進(jìn)邏輯制程、3DFabric和特殊制程等技術(shù),以在適當(dāng)?shù)臅r(shí)間提供合適的技術(shù),協(xié)助推動(dòng)客戶創(chuàng)新。隨著我們的先進(jìn)制程技術(shù)從10納米推進(jìn)至2納米,我們的能源效率在約十年間以15%的年復(fù)合成長(zhǎng)率增加,以支持半導(dǎo)體產(chǎn)業(yè)的驚人成長(zhǎng)。

  臺(tái)積公司先進(jìn)制程技術(shù)的產(chǎn)能年復(fù)合成長(zhǎng)率在2019年至2023年間將超過(guò)40%。作為第一家于2020年開(kāi)始量產(chǎn)N5的晶圓廠,臺(tái)積公司透過(guò)推出N4、N4P、N4X和N5A等技術(shù),持續(xù)強(qiáng)化其5納米家族。臺(tái)積公司的3納米制程技術(shù)是半導(dǎo)體產(chǎn)業(yè)中第一個(gè)實(shí)現(xiàn)高量產(chǎn)和高良率的制程技術(shù),我們預(yù)計(jì)N3將在行動(dòng)和HPC應(yīng)用的驅(qū)動(dòng)下實(shí)現(xiàn)快速且順暢的產(chǎn)能提升(ramping)。

  此外,為了進(jìn)一步推展微縮,以在單體式系統(tǒng)單芯片(monolithic SoCs)中實(shí) 現(xiàn)更小且更優(yōu)異的晶體管,臺(tái)積公司亦在開(kāi)發(fā)3DFabric技術(shù),發(fā)揮異質(zhì)整合的優(yōu)勢(shì),將系統(tǒng)中的晶體管數(shù)量提高5倍,甚至更多。

  從2017年到2022年,臺(tái)積公司對(duì)特殊制程技術(shù)投資的年復(fù)合成長(zhǎng)率超過(guò)40%。到2026年,臺(tái)積公司預(yù)計(jì)將特殊制程產(chǎn)能提升近50%

  

臺(tái)積公司預(yù)計(jì)將特殊制程產(chǎn)能提升近50%.png

  先進(jìn)邏輯制程

  2 納米家族

  N2計(jì)劃于2025年量產(chǎn);N2P和N2X則計(jì)劃在2026年推出。

  納米片晶體管的效能已超過(guò)臺(tái)積公司技術(shù)目標(biāo)的80%,同時(shí)展示了優(yōu)異的能源效率和更低的工作電壓(Vmin),非常適合作為半導(dǎo)體產(chǎn)業(yè)節(jié)能運(yùn)算的典范。作為臺(tái)積公司 N2制程技術(shù)平臺(tái)的一部分,背面電軌(backside power rail)的設(shè)計(jì)為其基線技術(shù)提供了額外的速度和密度提升。背面電軌設(shè)計(jì)最適合用于HPC產(chǎn)品,將于2025年下半年推出。透過(guò)減少壓降(IR drop)和訊號(hào)電阻-電容延遲(signal RC delays),使速度提升超過(guò)10-12%。由于晶圓正面擁有更多的布線資源,使得邏輯面積可減少10-15%。

  3 納米家族

  

3 納米家族.png

 

  N3是臺(tái)積公司目前最先進(jìn)的邏輯制程技術(shù),已依計(jì)劃在2022年第四季進(jìn)入量產(chǎn);N3E計(jì)劃在N3量產(chǎn)后一年推出,且已通過(guò)技術(shù)驗(yàn)證,達(dá)成效能與良率目標(biāo)。與N5相比,N3E在相同功耗下速度加快18%,在相同速度下功耗降低32%,邏輯密度提升約60%、芯片密度提升約30%。o N3E已經(jīng)收到了第一批客戶產(chǎn)品設(shè)計(jì)定案(product tape-outs),并將在2023年下半年開(kāi)始量產(chǎn)。

  臺(tái)積公司亦推出N3P和N3X來(lái)提升制程技術(shù)價(jià)值,在提供額外效能和面積優(yōu)勢(shì)的同時(shí),亦保持與N3E的設(shè)計(jì)規(guī)則兼容性,以最大程度地實(shí)現(xiàn)IP重復(fù)使用。在邁入量產(chǎn)的前三年,N3和N3E的新產(chǎn)品設(shè)計(jì)定案數(shù)量將是N5同時(shí)期的1.5至2倍,主要?dú)w功于臺(tái)積公司的技術(shù)差異化和準(zhǔn)備就緒程度。

  在保持與 N3E 設(shè)計(jì)規(guī)則兼容性的同時(shí),提供額外的效能和面積優(yōu)勢(shì),以最大程度地實(shí)現(xiàn) IP 重復(fù)使用。N3P 預(yù)計(jì)于 2024 年下半年開(kāi)始量產(chǎn),客戶可以在相同漏電下,速度增快 5%;在相同速度下,功耗降低 5-10%,以及與 N3E相比芯片密度增加 4%。o N3X:專(zhuān)為 HPC 應(yīng)用所設(shè)計(jì),提供額外的最大震蕩頻率(Fmax),以在適度的漏電平衡下提高驅(qū)動(dòng)效能(overdrive performance),這意味著相較于 N3P,N3X 在驅(qū)動(dòng)電壓 1.2 伏特下,速度增快 5%,并擁有相同的芯片密度提升幅度。N3X 預(yù)計(jì)于 2025 年進(jìn)入量產(chǎn)。

  臺(tái)積公司今日推出業(yè)界第一個(gè)基于 3 納米的 Auto Early 技術(shù),命名為 N3AE。N3AE 提供以 N3E 為基礎(chǔ)的汽車(chē)制程設(shè)計(jì)套件(PDK),讓客戶能夠提早采用 3 納米技術(shù)來(lái)設(shè)計(jì)汽車(chē)應(yīng)用產(chǎn)品,以便于 2025 年及時(shí)采用屆時(shí)已全面通過(guò)汽車(chē)制程驗(yàn)證的 N3A 制程。

  5 納米家族:

  隨著臺(tái)積公司5納米制程進(jìn)入量產(chǎn),所累積的經(jīng)驗(yàn)使得該制程的良率和效能不斷提升。在4 年間,與 N5 量產(chǎn)第一年相比,臺(tái)積公司將該制程的效能提升高達(dá)17%、芯片密度增加 6%,并維持著相同的設(shè)計(jì)規(guī)則兼容性,以盡可能增加現(xiàn)有客戶設(shè)計(jì)的再利用。盡管N5需求強(qiáng)勁,N4P將自2024年推動(dòng)需求進(jìn)一步增加。與2022年相比,此一需求增加主要來(lái)自人工智能、網(wǎng)絡(luò)和汽車(chē)產(chǎn)品,這與產(chǎn)業(yè)趨勢(shì)密切相關(guān)。

  超越 N2 的技術(shù)創(chuàng)新

  晶體管架構(gòu)從平面式發(fā)展到FinFET,并即將轉(zhuǎn)變至納米片(nanosheet)架構(gòu)。在納米片之后,臺(tái)積公司認(rèn)為垂直堆棧的NMOS和PMOS(即互補(bǔ)式場(chǎng)效晶體管 CFET)是未來(lái)制程架構(gòu)選項(xiàng)之一。

  臺(tái)積公司預(yù)估,在考量布線和制程復(fù)雜性后,芯片密度將可提升1.5至2倍。除了CFET,臺(tái)積公司在低維材料(如碳納米管和2D材料)方面取得了突破,可能實(shí)現(xiàn)進(jìn)一步的尺寸和能源微縮。

  

超越 N2 的技術(shù)創(chuàng)新.png

 

  TSMC 3DFabric技術(shù)

  臺(tái)積公司 3DFabric 系統(tǒng)整合技術(shù)包含各種先進(jìn)的 3D 硅堆棧和先進(jìn)封裝技術(shù),以支援廣泛的次世代產(chǎn)品:

  在 3D 硅堆棧方面,臺(tái)積公司正于系統(tǒng)整合芯片(TSMC-SoIC? )家族中加入微凸塊的 SoIC-P,以支援更具成本敏感度的應(yīng)用。2.5D CoWoS 平臺(tái)得以實(shí)現(xiàn)先進(jìn)邏輯和高頻寬存儲(chǔ)器的整合,適用于人工智能、機(jī)器學(xué)習(xí)和資料中心等 HPC 應(yīng)用;整合型扇出層疊封裝技術(shù)(InFO PoP)和 InFO-3D 支援行動(dòng)應(yīng)用,InFO-2.5D 則支援 HPC 小芯片整合。系統(tǒng)整合芯片(SoIC)堆棧芯片可被整合于整合型扇出(InFO)或 CoWoS封裝中,以實(shí)現(xiàn)最終系統(tǒng)整合。

  

TSMC 3DFabric技術(shù).png

 

  CoWoS 家族,主要針對(duì)需要整合先進(jìn)邏輯和高頻寬存儲(chǔ)器的 HPC 應(yīng)用。臺(tái)積公司已經(jīng)支援超過(guò) 25 個(gè)客戶的逾 140 種 CoWoS 產(chǎn)品。所有 CoWoS 解決方案的中介層面積均在增加,以便整合更多先進(jìn)硅芯片和高頻寬存儲(chǔ)器堆棧,以滿足更高的效能需求。臺(tái)積公司正在開(kāi)發(fā)具有高達(dá) 6 個(gè)光罩尺寸(約 5,000 平方毫米)重布線層(RDL)中介層的 CoWoS 解決方案,能夠容納 12 個(gè)高頻寬存儲(chǔ)器堆棧。

  來(lái)到InFO 制程技術(shù),在行動(dòng)應(yīng)用方面,InFO PoP 自 2016 年開(kāi)始量產(chǎn)并運(yùn)用于高階行動(dòng)裝置,可以在更小的封裝規(guī)格中容納更大、更厚的系統(tǒng)單芯片(SoC)。在 HPC 應(yīng)用方面,無(wú)基板的 InFO_M 支援高達(dá) 500 平方毫米的小芯片整合,適用于對(duì)外型規(guī)格敏感度較高的應(yīng)用。

  至于3D 硅堆棧技術(shù), SoIC-P 采用 18-25 微米間距微凸塊堆棧技術(shù),主要針對(duì)如行動(dòng)、物聯(lián)網(wǎng)、客戶應(yīng)用等較為成本敏感的應(yīng)用。SoIC-X 采用無(wú)凸塊堆棧技術(shù),主要針對(duì) HPC 應(yīng)用。其芯片對(duì)晶圓堆棧方案具有 4.5 至 9 微米的鍵合間距,已在臺(tái)積公司的 N7 制程技術(shù)中量產(chǎn),運(yùn)用于HPC 應(yīng)用。SoIC 堆棧芯片可以進(jìn)一步整合到 CoWoS、InFo 或傳統(tǒng)覆晶封裝中,運(yùn)用于客戶的最終產(chǎn)品。

  AMD 成功展示了采用 SoIC-X 技術(shù)將 N5 GPU 和 CPU 堆棧于底層芯片,并整合在CoWoS 封裝中,以滿足次世代百萬(wàn)兆級(jí)(exa-scale)運(yùn)算的需求,此為臺(tái)積公司的3DFabric 技術(shù)如何推動(dòng) HPC 創(chuàng)新的具體例子。

  

AMD 成功展示了采用 SoIC-X 技術(shù).png

 

  特殊制程

  臺(tái)積公司提供了業(yè)界最全面的特殊制程產(chǎn)品組合,包括電源管理、射頻、CMOS 影像感測(cè)等,涵蓋廣泛的應(yīng)用領(lǐng)域。

  首先看汽車(chē)方面,隨著汽車(chē)產(chǎn)業(yè)朝向自動(dòng)駕駛發(fā)展,運(yùn)算需求正在快速增加,且需要最先進(jìn)的邏輯技術(shù)。到 2030 年,臺(tái)積公司預(yù)計(jì) 90%的汽車(chē)將具備先進(jìn)駕駛輔助系統(tǒng)(ADAS),其中 L1、L2 和 L2+/L3 將有望各達(dá)市占率 30%。

  在過(guò)去三年,臺(tái)積公司推出了汽車(chē)設(shè)計(jì)實(shí)現(xiàn)平臺(tái)(ADEP),透過(guò)提供領(lǐng)先業(yè)界、Grade 1 質(zhì)量認(rèn)證的 N7A 和 N5A 來(lái)釋放客戶的汽車(chē)創(chuàng)新。為了讓客戶在技術(shù)成熟前就能預(yù)先進(jìn)行汽車(chē)產(chǎn)品設(shè)計(jì),臺(tái)積公司推出了 Auto Early,作為提前啟動(dòng)產(chǎn)品設(shè)計(jì)并縮短上市時(shí)間的墊腳石。N4AE 是基于 N4P 開(kāi)發(fā)的新技術(shù),將允許客戶在 2024 年開(kāi)始進(jìn)行風(fēng)險(xiǎn)生產(chǎn)。N3AE 作為 N3A 的堅(jiān)實(shí)基礎(chǔ), N3A 將于 2025 年全面通過(guò)汽車(chē)制程驗(yàn)證,并將成為全球最先進(jìn)的汽車(chē)邏輯制程技術(shù)。

  針對(duì)5G 和連網(wǎng)性的先進(jìn)射頻技術(shù)需求,臺(tái)積公司在 2021 年推出了 N6RF,該技術(shù)是基于我們創(chuàng)紀(jì)錄的 7 納米邏輯制程技術(shù),在速度和能源效率方面皆具有同級(jí)最佳的晶體管效能。結(jié)合了出色的射頻效能以及優(yōu)秀的7納米邏輯速度和能源效率,臺(tái)積公司的客戶可以藉由從 16FFC 轉(zhuǎn)換到 N6RF,在半數(shù)位和半類(lèi)比的射頻 SoC 上實(shí)現(xiàn)功耗降低 49%,釋放行動(dòng)裝置的能源預(yù)算以支援其他不斷成長(zhǎng)的功能。

  臺(tái)積公司日前宣布推出最先進(jìn)的互補(bǔ)式金屬氧化物半導(dǎo)體(CMOS)射頻技術(shù)N4PRF,預(yù)計(jì)于 2023 年下半年發(fā)布。相較于 N6RF,N4PRF 邏輯密度增加 77%,且在相同效能下,功耗降低 45%。N4PRF 也比其前代技術(shù) N6RF 增加了 32%的 MOM 電容密度。

  臺(tái)積電還有超低功率的方案。據(jù)介紹,臺(tái)積公司的超低功率解決方案持續(xù)推動(dòng)降低 Vdd,以實(shí)現(xiàn)對(duì)電子產(chǎn)品而言至關(guān)重要的節(jié)能。臺(tái)積公司不斷提升技術(shù)水平,從 55ULP 的最小 Vdd 為 0.9 伏特,到 N6e 的Vdd 已低于 0.4 伏特,我們提供廣泛的電壓操作范圍,以實(shí)現(xiàn)動(dòng)態(tài)電壓調(diào)節(jié)設(shè)計(jì)來(lái)達(dá)成最佳的功率∕效能。相較于 N22 解決方案,即將推出的 N6e 解決方案可提供約 4.9 倍的邏輯密度,并可降低超過(guò) 70%的功耗,為穿戴式裝置提供具吸引力的解決方案。

  在MCU / 嵌入式非揮發(fā)性存儲(chǔ)器方面,臺(tái)積公司最先進(jìn)的 eNVM 技術(shù)已經(jīng)發(fā)展到了基于 16/12 納米的鰭式場(chǎng)效晶體管(FinFET)技術(shù),讓客戶得以從 FinFET 晶體管的優(yōu)秀效能中受益。由于傳統(tǒng)的浮閘式 eNVM 或 ESF3技術(shù)越來(lái)越復(fù)雜,臺(tái)積公司亦大量投資于 RRAM 和 MRAM 等新的嵌入式存儲(chǔ)器技術(shù)。這兩種新技術(shù)都已經(jīng)取得了成果,正在 22 納米和 40 納米上投產(chǎn)。臺(tái)積公司正在計(jì)劃開(kāi)發(fā) 6 納米技術(shù)。

  來(lái)到RRAM,臺(tái)積電已經(jīng)于 2022 年第一季開(kāi)始生產(chǎn) 40/28/22 納米的RRAM。臺(tái)積公司的 28 納米R(shí)RAM 進(jìn)展順利,具備可靠效能,適于汽車(chē)應(yīng)用。臺(tái)積公司正在開(kāi)發(fā)下一代的 12 納米R(shí)RAM,預(yù)計(jì)在 2024 年第一季就緒。

  太極公司也從2020 年開(kāi)始生產(chǎn)的 22 納米MRAM 主要用于物聯(lián)網(wǎng)應(yīng)用,現(xiàn)在,臺(tái)積公司正在與客戶合作將 MRAM 技術(shù)應(yīng)用于未來(lái)的汽車(chē)應(yīng)用,并預(yù)計(jì)在 2023 年第二季取得 Grade 1 汽車(chē)等級(jí)認(rèn)證。

  至于CMOS 影像感測(cè),雖然智能型手機(jī)的相機(jī)模塊一直是互補(bǔ)式金屬氧化物半導(dǎo)體(CMOS)影像感測(cè)技術(shù)的主要驅(qū)動(dòng)力,但臺(tái)積公司預(yù)計(jì)車(chē)用相機(jī)將推動(dòng)下一波 CMOS 影像傳感器(CIS)成長(zhǎng)。為了滿足未來(lái)傳感器的需求,實(shí)現(xiàn)更高質(zhì)量且更具智慧的感測(cè),臺(tái)積公司一直致力于研究多晶圓堆棧解決方案,以展示新的傳感器架構(gòu),例如堆棧像素傳感器、最小體積的全域快門(mén)傳感器、基于事件的 RGB 融合傳感器,以及具有整合存儲(chǔ)器的 AI 傳感器。

  針對(duì)顯示器應(yīng)用,在 5G、人工智能和 AR/VR 等技術(shù)驅(qū)動(dòng)下,臺(tái)積公司正致力于為許多新應(yīng)用提供更高的分辨率和更低的功耗。下一代高階 OLED 面板將需要更多的數(shù)位邏輯和靜態(tài)隨機(jī)存取存儲(chǔ)器(SRAM)內(nèi)容,以及更快的幀率,為了滿足此類(lèi)需求,臺(tái)積公司正在將其高壓(HV)技術(shù)導(dǎo)入到 28 納米的產(chǎn)品世代中,以實(shí)現(xiàn)更好的能源效率和更高的靜態(tài)隨機(jī)存取存儲(chǔ)器密度。臺(tái)積公司領(lǐng)先的 μDisplay on silicon 技術(shù)可以提供高達(dá) 10 倍的像素密度,以實(shí)現(xiàn)如 AR 和 VR 中使用的近眼顯示器所需之更高分辨率。

  產(chǎn)能布局

  為了滿足客戶不斷增長(zhǎng)的需求,臺(tái)積公司加快了晶圓廠拓展的腳步。從 2017 年到 2019 年,臺(tái)積公司平均每年進(jìn)行大約 2 期的晶圓廠建設(shè)工程。從 2020 年到 2023 年,臺(tái)積公司晶圓廠的平均建設(shè)進(jìn)度大幅增加至每年約 5期的工程。

  在過(guò)去兩年,臺(tái)積公司總共展開(kāi)了 10 期的晶圓廠新建工程,包括在臺(tái)灣的5 期晶圓廠工程與 2 期先進(jìn)封裝廠工程,以及海外的 3 期晶圓廠工程。

  28 納米及以下制程的海外產(chǎn)能在 2024 年將比 2020 年成長(zhǎng) 3 倍。

  在臺(tái)灣,臺(tái)積公司 N3 制程量產(chǎn)的基地在南科 18 廠;此外,臺(tái)積公司正在為 N2 制程的新晶圓廠進(jìn)行準(zhǔn)備。在美國(guó),臺(tái)積公司正在亞利桑那州建造 2 期晶圓廠。

  公司第一期 N4 晶圓廠已經(jīng)開(kāi)始移入設(shè)備,并將在 2024 年開(kāi)始生產(chǎn)。第二期晶圓廠正在興建中,計(jì)劃以 N3 制程進(jìn)行生產(chǎn)。這兩期晶圓廠將合計(jì)年產(chǎn) 60 萬(wàn)片晶圓。

  在日本,臺(tái)積公司正在熊本興建一座晶圓廠,計(jì)劃以16/12納米和28納米家族提供晶圓制造服務(wù),以應(yīng)對(duì)全球市場(chǎng)對(duì)特殊制程的強(qiáng)烈需求。這座晶圓廠的建設(shè)工程已經(jīng)開(kāi)始,并將在2024年邁入量產(chǎn)。

  在中國(guó),新1期的28納米制程晶圓廠已于2022年開(kāi)始量產(chǎn)。

  臺(tái)積公司在先進(jìn)制程的缺陷密度(D0)和每百萬(wàn)件產(chǎn)品缺陷數(shù)(DPPM)方面的領(lǐng)先地位,展現(xiàn)了其制造卓越性。

  N5 制程復(fù)雜度遠(yuǎn)高于 N7,但在相同階段,N5 的良率優(yōu)化比 N7 更好。

  臺(tái)積公司 N3 制程技術(shù)在高度量產(chǎn)中的良率表現(xiàn)領(lǐng)先業(yè)界,其 D0 效能已經(jīng)與 N5 同期的表現(xiàn)相當(dāng)。

  臺(tái)積公司 N7 和 N5 制程技術(shù)在包括智能型手機(jī)、計(jì)算機(jī)和汽車(chē)等方面,展現(xiàn)了領(lǐng)先業(yè)界的 DPPM,我們相信 N3 的 DPPM 很快就能追上 N5 的表現(xiàn)。

  透過(guò)利用臺(tái)積公司領(lǐng)先業(yè)界的 3DFabric制造技術(shù),客戶可以克服系統(tǒng)級(jí)設(shè)計(jì)復(fù)雜性的挑戰(zhàn),加速產(chǎn)品創(chuàng)新。CoWoS 和 InFO 家族在量產(chǎn)后很快就達(dá)到了相當(dāng)高的良率。SoIC 和先進(jìn)封裝的整合良率將達(dá)到與 CoWoS 和 InFO 家族相同的水平。


中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0