傳動網(wǎng) > 新聞頻道 > > 資訊詳情

安捷倫擴(kuò)展其DisplayPort測試性能

時(shí)間:2007-12-07

來源:安捷倫科技(中國)有限公司

導(dǎo)語:安捷倫擴(kuò)展其DisplayPort測試性能

安捷倫科技有限公司(NYSE: A)于當(dāng)日宣布其對DisplayPort測試解決方案進(jìn)行擴(kuò)展,使其對于設(shè)計(jì)、描述和調(diào)試來說將成為更加靈活、全面的解決方案,以及用于發(fā)射器和接收器的符合性測試. 此擴(kuò)展還允許工程師加速新個(gè)人電腦顯示器的開發(fā)周期和市場推廣的時(shí)間. 安捷倫提供的用于發(fā)射器和接收器測試的DisplayPort解決方案,由DSO80804B Infiniium示波鏡、 E4887A TMDS信號發(fā)生器和遞交給VESA用于收發(fā)器執(zhí)行符合性測試的CTS組成. DisplayPort是由視頻電子標(biāo)準(zhǔn)協(xié)會(VESA)推出的新顯示標(biāo)準(zhǔn),組成VESA組織的公司引導(dǎo)了新數(shù)字界面發(fā)展的趨勢. 作為其符合標(biāo)準(zhǔn)的一部分,VESA已建立了用于DisplayPort的一種全面的符合測試程序,包括在獨(dú)立的第三方測試室的產(chǎn)品認(rèn)證,用于物理層和鏈接層的符合測試. 物理層測試由發(fā)射器、接收器和在DisplayPort CTS中說明的電纜(媒介)詳細(xì)測試組成. 安捷倫的數(shù)字測試部門副總裁兼總經(jīng)理Siegfried Gross說:“安捷倫的DisplayPort發(fā)射器和接收器測試產(chǎn)品可以為設(shè)計(jì)、描述和調(diào)試提供靈活的,全面的解決方案,以及符合性測試. 重要的是它不僅僅是提供DisplayPort符合性測試工具,還能通過整個(gè)DisplayPort開發(fā)周期支持設(shè)計(jì)工程師為其提供最好的測試性能. ” 來自安捷倫DSO80804B Infiniium示波鏡和E4887A TMDS信號發(fā)生器DisplayPort測試解決方案的受益包括: 1 全面的收發(fā)設(shè)計(jì)和測試性能 2 HDMI 和DisplayPort的TDMS信號發(fā)生器的投資保護(hù) 3 具備MOI收發(fā)器的快速簡易的符合性測試 價(jià)格及售貨 安捷倫DSO80804B Infiniium示波鏡DisplayPort發(fā)射器解決方案,起價(jià)$93,000. DisplayPort加強(qiáng)了基于安捷倫E4887A TMDS信號發(fā)生器的發(fā)生器性能,起價(jià)$130,000. 產(chǎn)品現(xiàn)都有現(xiàn)貨. 關(guān)于安捷倫DisplayPort符合性測試解決方案的附加信息可登錄: www.agilent.com/find/DisplayPort ,高清晰度圖片可登錄:www.agilent.com/find/displayport_images 下載. 關(guān)于DisplayPort DisplayPort數(shù)字顯示規(guī)格1.1版本由VESA協(xié)會頒布,并得到了主要的PC生產(chǎn)商如戴爾和惠普公司的認(rèn)可. 其帶來了簡化PC監(jiān)視器可升級,無許可證的技術(shù). [font=times]original text [/font]
[font=times]Agilent Technologies Expands its DisplayPort Test Capability [/font]
[font=times][color=#708090]Agilent Technologies Inc. (NYSE: A) today announced that it expanded its DisplayPort test solution with the most flexible, comprehensive solution for design, characterization and debug, as well as compliance testing for source and sink. This allows engineers to speed up the development cycle and time to market of new personal computer displays. Agilent offers a DisplayPort test solution for source and sink test, comprised of the DSO80804B Infiniium oscilloscope and the E4887A TMDS signal generator, and has submitted a method of implementation (MOI) to the Video Electronics Standards Association (VESA) for source and sink compliance tests as described by Compliance Test Specification (CTS). DisplayPort is a new standard driven by VESA, an organization comprised of leading companies in the High Definition Digital Display industry that are driving the development of this new digital interface. As part of its standards compliance, VESA has established a comprehensive compliance test program for DisplayPort that includes product certification at independent third-party test houses for physical layer and link layer compliance testing. Physical layer test is comprised of source, sink and cable (media) test detailed in the DisplayPort CTS. "Agilent‘s DisplayPort source and sink test products provide the most flexible, comprehensive solution for design, characterization and debug, as well as compliance testing," said Siegfried Gross, vice president and general manager of Agilent‘s Digital Test Division. "It‘s important to provide not only the tools for DisplayPort compliance test, but the test capability to support the design engineer through the entire DisplayPort development cycle." Benefits of Agilent‘s DSO80804B Infiniium oscilloscope and E4887A TMDS signal generator DisplayPort source and sink test solution include: • comprehensive source and sink design and characterization test capability; • investment protection of TDMS signal generator for both HDMI and DisplayPort testing; and • easier, faster compliance testing with source and sink method of implementation. U.S. Pricing and Availability Pricing for Agilent‘s DSO80804B Infiniium oscilloscope DisplayPort source test solution starts at $93,000. The DisplayPort stressed signal generator is based on the Agilent E4887A TMDS signal generator. Pricing starts at $130,000. The products are available now. Additional information on Agilent‘s DisplayPort compliance test solution is available at www.agilent.com/find/DisplayPort. High-resolution images are available at www.agilent.com/find/displayport_images. About DisplayPort The DisplayPort digital display specification 1.1 was published by the Video Electronics Standards Association and is being endorsed by major PC manufacturers such as Dell and Hewlett-Packard Company. It promises a scalable, license-free technology that will simplify PC monitors.[/color][/font]
聲明:本文為中國傳動網(wǎng)獨(dú)家稿件。未經(jīng)許可,請勿轉(zhuǎn)載。
中傳動網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來源:中國傳動網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來源“中國傳動網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請保留稿件來源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問題,請?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動控制公眾號獲取更多資訊

關(guān)注直驅(qū)與傳動公眾號獲取更多資訊

關(guān)注中國傳動網(wǎng)公眾號獲取更多資訊

最新新聞
查看更多資訊

熱搜詞
  • 運(yùn)動控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺
  • 機(jī)械傳動
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0