TwinCAT 3:適用于機(jī)器人技術(shù)的 eXtended Automation 技術(shù)

時(shí)間:2012-05-04

來(lái)源:德國(guó)倍福自動(dòng)化有限公司

導(dǎo)語(yǔ):Beckhoff 將在2012 慕尼黑國(guó)際機(jī)器人及自動(dòng)化技術(shù)貿(mào)易博覽會(huì)上展示其全系列針對(duì)機(jī)器人技術(shù)、裝配、處理、控制、安全和驅(qū)動(dòng)應(yīng)用的解決方案。

  2012慕尼黑國(guó)際機(jī)器人及自動(dòng)化技術(shù)貿(mào)易博覽會(huì)(Automatica)將于5月22日至25日在德國(guó)慕尼黑舉行,Beckhoff將在此次展會(huì)上展示其全系列針對(duì)機(jī)器人技術(shù)、裝配、處理、控制、安全和驅(qū)動(dòng)應(yīng)用的解決方案。其中,TwinCAT3軟件將是Beckhoff此次展出的亮點(diǎn)產(chǎn)品。TwinCAT3為科技自動(dòng)化提供了理想的平臺(tái),即用最新的軟件組件對(duì)基于PC的控制技術(shù)進(jìn)行進(jìn)一步拓展。在眾多的優(yōu)點(diǎn)中,最突出的就是機(jī)器人組件易于集成到整個(gè)控制系統(tǒng)中,從而最大限度地減少添加獨(dú)立機(jī)器人控制器的需要。無(wú)需專用的機(jī)器人技術(shù)工具和語(yǔ)言即可全面集成到TwinCAT3中可以顯著降低工程成本。

Beckhoff 為機(jī)器人控制系統(tǒng)提供了一個(gè)完整的解決方案,包括裝有 TwinCAT 自動(dòng)化軟件的高性能工業(yè) PC、用于實(shí)現(xiàn)高速數(shù)據(jù)傳輸?shù)?EtherCAT 端子模塊,以及用于驅(qū)動(dòng)高動(dòng)態(tài)伺服電機(jī)的 EtherCAT 伺服驅(qū)動(dòng)器。

Beckhoff 為機(jī)器人控制系統(tǒng)提供了一個(gè)完整的解決方案,包括裝有 TwinCAT 自動(dòng)化軟件的高性能工業(yè) PC、用于實(shí)現(xiàn)高速數(shù)據(jù)傳輸?shù)?EtherCAT 端子模塊,以及用于驅(qū)動(dòng)高動(dòng)態(tài)伺服電機(jī)的 EtherCAT 伺服驅(qū)動(dòng)器。

  科技自動(dòng)化使得處理器能力得到了充分利用,特別是支持多核技術(shù),因此可以通過(guò)新的組件對(duì)傳統(tǒng)的基于PC的自動(dòng)化應(yīng)用進(jìn)行拓展。不僅僅是測(cè)量技術(shù)和狀態(tài)監(jiān)測(cè),機(jī)器人技術(shù)也可以集成到標(biāo)準(zhǔn)控制系統(tǒng)中。機(jī)器人技術(shù)和運(yùn)動(dòng)控制功能可以完美結(jié)合在一起,并可以在同一個(gè)平臺(tái)上進(jìn)行同步,以實(shí)現(xiàn)最佳性能。

  降低工程成本:配置、參數(shù)化和診斷在同一系統(tǒng)上完成

  TwinCAT運(yùn)動(dòng)轉(zhuǎn)換軟件將機(jī)器人控制技術(shù)集成到TwinCAT自動(dòng)化套件中。PLC、運(yùn)動(dòng)控制、HMI、測(cè)量技術(shù)和機(jī)器人技術(shù)功能可以在同一個(gè)工業(yè)PC上執(zhí)行。配置和編程完全在TwinCAT中完成,因而可以顯著減少工程時(shí)間和成本。到目前為止已經(jīng)實(shí)施了如下運(yùn)動(dòng)系統(tǒng):直角坐標(biāo)運(yùn)動(dòng)、剪切運(yùn)動(dòng)、滾軸運(yùn)動(dòng)(HBot)、SCARA、二維運(yùn)動(dòng)、二維平行運(yùn)動(dòng)和三自由度Delta運(yùn)動(dòng)。未來(lái)計(jì)劃還將開(kāi)發(fā)出其它運(yùn)動(dòng)系統(tǒng)。此外,TwinCAT運(yùn)動(dòng)轉(zhuǎn)換軟件還提供追蹤功能。這意味著機(jī)器人可以與一個(gè)移動(dòng)對(duì)象同步,從而可以,例如拾取傳送帶或傾斜轉(zhuǎn)盤上的工件。

  eXtendedAutomation為機(jī)器人技術(shù)帶來(lái)新的機(jī)遇

  通過(guò)TwinCAT3—最新一代基于PC的控制軟件,C/C++和Matlab®/Simulink®也可提供作為除IEC61131-3之外的編程軟件。這使得集成特殊機(jī)器人運(yùn)動(dòng)變得相當(dāng)簡(jiǎn)單。當(dāng)不得不使用專用的控制器時(shí),他們可以作為Matlab®/Simulink®模型輕松集成。

  可擴(kuò)展的驅(qū)動(dòng)技術(shù)

  特別是在小型機(jī)器人應(yīng)用中,需要適用于小功率電機(jī)的緊湊型驅(qū)動(dòng)設(shè)備。BeckhoffEtherCAT端子模塊系統(tǒng)中的EL7201伺服端子模塊在一個(gè)標(biāo)準(zhǔn)端子模塊外殼中集成了一個(gè)完整的伺服驅(qū)動(dòng)單元,可驅(qū)動(dòng)最大功率達(dá)200W的電機(jī)。簡(jiǎn)單的集成實(shí)現(xiàn)了緊湊型和經(jīng)濟(jì)型機(jī)器人技術(shù)的應(yīng)用。

  AX5000系列EtherCAT伺服驅(qū)動(dòng)器可與伺服電機(jī)配套使用,實(shí)現(xiàn)高動(dòng)態(tài)定位任務(wù)。新型AM8000系列同步伺服電機(jī)有標(biāo)準(zhǔn)型和采用不銹鋼外殼的型號(hào)可選,具有高動(dòng)態(tài)性、低能耗、低成本的優(yōu)點(diǎn)。該系列伺服電機(jī)的一個(gè)技術(shù)亮點(diǎn)就是采用了最新的單芯電纜傳輸技術(shù),使用該項(xiàng)技術(shù)可以將電源和反饋系統(tǒng)集成到一根標(biāo)準(zhǔn)的電機(jī)電纜中,從而大幅降低材料和調(diào)試成本。AM8000不銹鋼型號(hào)的電機(jī)防護(hù)等級(jí)達(dá)到IP67,特別適合應(yīng)用于食品、醫(yī)院和化工行業(yè)。

  高效、安全的機(jī)器人控制系統(tǒng)

  實(shí)時(shí)以太網(wǎng)現(xiàn)場(chǎng)總線EtherCAT具有性能卓越、刷新時(shí)間極短等特點(diǎn)。TwinSAFE確保數(shù)據(jù)安全傳輸。Beckhoff集成式安全系統(tǒng)確保了從I/O層到驅(qū)動(dòng)的安全。這也包括TwinCAT系統(tǒng)中安全程序的編程和測(cè)試。

中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問(wèn)題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺(jué)
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0