技術(shù)頻道

娓娓工業(yè)
您現(xiàn)在的位置: 中國傳動網(wǎng) > 技術(shù)頻道 > 技術(shù)百科 > 嵌入式系統(tǒng)中PCI總線仲裁器的設(shè)計與實現(xiàn)

嵌入式系統(tǒng)中PCI總線仲裁器的設(shè)計與實現(xiàn)

時間:2008-04-24 11:58:00來源:ronggang

導(dǎo)語:?描述了PCI總線仲裁的原理和仲裁算法,闡述了用可編程器件實現(xiàn)總線仲裁的具體方法,并實現(xiàn)了一個雙主設(shè)備仲裁器
  PCI(Peripheral Component Interconnect)總線是現(xiàn)今最為流行的工業(yè)控制總線之一。它廣泛地應(yīng)用在計算機(jī)中,并且由于眾多廠商對PC]的良好支持,使得目前嵌入式設(shè)備中的很多解決方案都包含了PCI總線。在多主設(shè)備的PCI系統(tǒng)應(yīng)用中,必須為各個主設(shè)備提供仲裁授權(quán)信號。很多廠家有針對性地發(fā)布了PCI仲裁邏輯的專用芯片或者集成了PCI仲裁邏輯的專用芯片,但使用不夠靈活。   為了使PCI設(shè)備能夠更方便地應(yīng)用在嵌入式系統(tǒng)中,本文介紹了一種基于CPLD(復(fù)雜可編程邏輯器件)的PCI總線仲裁器的設(shè)計方法。此方法可以為系統(tǒng)量身定制適合于系統(tǒng)本身的PCI總線仲裁器,而不必局限于特定芯片的要求,在體積、功能、成本等諸多方面都有很好的應(yīng)用前景。 1 PCI總線仲裁簡介   1.1 PCI總線的仲裁原理   PCI總線是一種共享式的總線,可以連接多個主設(shè)備,但由于數(shù)據(jù)傳輸?shù)莫氄夹裕恳粫r刻只能由一個主設(shè)備占用總線。因此,為了有效地利用PCI總線帶寬,必須設(shè)置一個總線仲裁器,按照一定的算法協(xié)調(diào)系統(tǒng)中各個主設(shè)備的操作。   每個具備主設(shè)備功能的PCI設(shè)備必須提供兩個與仲裁有關(guān)的信號:REQ#和GNT#。其中REQ為請求總線信號,由需要發(fā)起PCI傳輸事務(wù)的設(shè)備發(fā)出;GNT#為總線授權(quán)信號,由PCI總線仲裁器裁決后給出。接到GNT#信號的PCI設(shè)備將在下一次總線空閑后開始操作。   PCI總線仲裁的裁決過程可以在PCI傳輸期間完成,并不占用PCI總線的帶寬,這稱為隱式仲裁。即需要發(fā)起PCI操作的設(shè)備可以隨時發(fā)出請求REO,PCI仲裁器立即批準(zhǔn)該請求并給出GNT。但是真正的傳輸操作一定要等到當(dāng)前傳輸完成,即總線空閑后才可以開始。圖l描述了PCI總線設(shè)備與仲裁器的關(guān)系。   
  1.2 PCI總線仲裁規(guī)則約定  ?。?)仲裁器的仲裁算法必須保證所有的設(shè)備都能得到授權(quán)的機(jī)會,否則將會出現(xiàn)某個優(yōu)先級低的設(shè)備永遠(yuǎn)不能占有總線進(jìn)行事務(wù)操作的情況。   (2)如果FRAME無效,GNT可以在任意時間撤消,以便服務(wù)于另一個主設(shè)備或者作為對主設(shè)備撤銷REQ的響應(yīng)。  ?。?)如果GNT信號被撤消但FRAME有信號,當(dāng)前的總線正在傳輸數(shù)據(jù),則操作合法。  ?。?)如果總線不處于空閑狀態(tài),則允許一個GNT的撤消和另一個GNT的發(fā)生在同一個周期。如果處在空閑狀態(tài),則要求一個GNT撤消到下一個GNT的發(fā)出之間必須有一個時鐘周期間隔,否則可能會在AD線和PAR線上出現(xiàn)沖突。  ?。?)GNT信號的每次發(fā)出,只限于相應(yīng)的總線主控器可以使用總線進(jìn)行一次總線操作(一個FRAME發(fā)出到撤銷)。如果該主控器需要多次總線訪問,它可以保持REQ信號一直有效。仲裁器會按照特定的仲裁算法來決定是否仍判給該主設(shè)備。   (6)一個主控器可以在任意時刻撤消其REQ信號。REQ信號一旦撤消,仲裁器將認(rèn)為該設(shè)備不再請求使用總線,因而撤消其GNT信號(參考上文(1))。如果一個主控器只希望做一次總線傳輸,則它應(yīng)當(dāng)在發(fā)出FRAME的同一時鐘周期撤消REQ。  ?。?)如果當(dāng)前的主控器在它的GNT信號發(fā)出后,持續(xù)16個空閑周期還沒有開始總線操作,則仲裁器視其為超時,仲裁器可以在任意時刻撤消GNT信號,以便服務(wù)于另一個設(shè)備。   1.3 PCI總線仲裁的算法   目前,應(yīng)用于PCI總線總裁的算法主要有固定優(yōu)先級算法和動態(tài)優(yōu)先級算法兩種。在固定優(yōu)先級算法中,各個設(shè)備的優(yōu)先級是事先確定好的,仲裁器針對事先設(shè)定好的優(yōu)先級為每個設(shè)備分配使用權(quán)。這種算法的缺點是:一旦PCI總線事務(wù)非常繁忙,優(yōu)先級高的設(shè)備會占有總線不放,將導(dǎo)致優(yōu)先級低的設(shè)備無法申請到總線。   可見這是一種并不公平的算法,只適用于總線利用率非常低的情況。動態(tài)優(yōu)先級算法是在每次仲裁授權(quán)后動態(tài)改變各個設(shè)備的優(yōu)先級。在保證每個設(shè)備都有機(jī)會獲得總線的情況下,優(yōu)先級改變的算法可以是各種各樣的。最常用的是循環(huán)優(yōu)先級算法,即每次仲裁授權(quán)后將排隊中的設(shè)備優(yōu)先級加l。因其算法簡單,且對大部分應(yīng)用都十分有效,本設(shè)計采用循環(huán)優(yōu)先級算法。   1.4 總線???   當(dāng)PCI總線空閑時,一個設(shè)備從申請總線到被授權(quán)使用,最少也需要2個時鐘周期,這對于PCI總線是一種浪費。因此仲裁器通常選中一個最經(jīng)常占用總線的設(shè)備,在PCI總線空閑時將GNT#賦予它,這叫做總線???。當(dāng)總線空閑時,該設(shè)備需要占用總線時可馬上得到批準(zhǔn)。 2 雙主設(shè)備PCI總線仲裁器的實現(xiàn)   下面描述了一個具有兩個設(shè)備的總線仲裁器的硬件實現(xiàn),其一為TriMedia嵌入式DSPCPU PNXl300,其二為Intel i82559網(wǎng)絡(luò)控制器。系統(tǒng)結(jié)構(gòu)如圖2所示。
  為設(shè)計方便起見,在程序中設(shè)計三類狀態(tài)機(jī):總線狀態(tài)狀態(tài)機(jī)、總線主設(shè)備查詢狀態(tài)機(jī)、仲裁狀態(tài)機(jī)。   2.1 總線狀態(tài)狀態(tài)機(jī)   總線狀態(tài)狀態(tài)機(jī)用于記錄總線事務(wù)的狀態(tài).定義如下:   type bus_state is(IDLE,BUSY,LAST_DATA,F(xiàn)INISH)   四種狀態(tài)分別表示總線空閑、忙、最后一個數(shù)據(jù)傳輸期以及傳輸完成。狀態(tài)圖如圖3。
  下面是以VHDL代碼形式實現(xiàn)的該狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)換關(guān)系。
  2.2 總線主設(shè)備查詢狀態(tài)機(jī)   總線主設(shè)備查詢狀態(tài)機(jī)用來決定當(dāng)前是否需要重新指定一個主設(shè)備。重新指定一個主設(shè)備的條件是:(1)當(dāng)前被授權(quán)的設(shè)備已開始傳輸;(2)當(dāng)前被授權(quán)的設(shè)備沒有開始傳輸并且超時。將主設(shè)備查詢狀態(tài)分為IDLE、GNTl、GNT2、WAIT_NOBUSY和WAIT_BUSY2五個狀態(tài),并設(shè)置計數(shù)器count。當(dāng)總線上某個設(shè)備被授權(quán),但16個周期仍然沒有開始操作,count超過16,被視為超時,仲裁器可以撤銷其仲裁授權(quán),并轉(zhuǎn)授其他設(shè)備。程序根據(jù)這個狀態(tài)機(jī)的輸出結(jié)果決定仲裁狀態(tài)機(jī)是否改變。   狀態(tài)轉(zhuǎn)換如圖4所示,狀態(tài)機(jī)描述的VHDL代碼略。
  該狀態(tài)機(jī)的驅(qū)動條件是由總線狀態(tài)狀態(tài)機(jī)的輸出結(jié)果(busbusy)、仲裁狀態(tài)機(jī)的狀態(tài)(idie,park)和計數(shù)器產(chǎn)生的超時信號(timeout)組成。設(shè)置WAIT_BUSY2的目的是為了避免可能會在AD線和PAR線上出現(xiàn)的沖突。該狀態(tài)機(jī)的輸出search_master作為仲裁狀態(tài)機(jī)狀態(tài)轉(zhuǎn)換使能信號,只有該信號有效時,仲裁狀態(tài)機(jī)才進(jìn)行當(dāng)前狀態(tài)的改變。
  2.3 仲裁狀態(tài)機(jī)   仲裁狀態(tài)機(jī)表示總線仲裁器的狀態(tài),定義如下:   type arbiter_state is(IDLE,DEVl,DEV2,PARK);   當(dāng)主設(shè)備查詢狀態(tài)機(jī)輸出使能信號(search_master)時,導(dǎo)致仲裁狀態(tài)機(jī)的狀態(tài)改變。   狀態(tài)轉(zhuǎn)變過程如圖5所示,狀態(tài)機(jī)描述的VHDL代碼略。
  仲裁器根據(jù)仲裁狀態(tài)機(jī)當(dāng)前狀態(tài)控制仲裁授權(quán)信號(GNT)的給出。
  注:PARKMASTER是事先設(shè)置的??繝顟B(tài)。   2.4 仿真波形圖   由圖6可以看出,測試文件模擬了一個設(shè)備申請和兩個設(shè)備同時申請的情況,并給出了總線授權(quán)信號(GNT),驗證了仲裁器邏輯的正確性。
  2.5 資源占用情況分析   可編程邏輯器件使用Lattice公司的ispLSI2064E-135LTl00,在ispLever中綜合本例程序,結(jié)果如表2。
  綜合后的延遲分析顯示,該邏輯的時鐘周期最小為7.5ns,即該邏輯可以運行在133MHz以下的系統(tǒng)中,完全可以勝任33MHz PCI總線的仲裁工作。   本PCI總線仲裁器已成功地應(yīng)用在基于PNXl300的IP會議電視終端系統(tǒng)中,用于處理嵌入式CPUPNXl300和網(wǎng)絡(luò)控制器182559的總線占用仲裁。該會議電視終端已于2005年1月在國家泰爾實驗室通過測試并取得入網(wǎng)許可證。

標(biāo)簽:

點贊

分享到:

上一篇:多DSP局部總線與VME總線的接...

下一篇:微能WIN-V63矢量控制變頻器在...

中國傳動網(wǎng)版權(quán)與免責(zé)聲明:凡本網(wǎng)注明[來源:中國傳動網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動網(wǎng)(www.treenowplaneincome.com)獨家所有。如需轉(zhuǎn)載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個人轉(zhuǎn)載使用時須注明來源“中國傳動網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請保留稿件來源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

網(wǎng)站簡介|會員服務(wù)|聯(lián)系方式|幫助信息|版權(quán)信息|網(wǎng)站地圖|友情鏈接|法律支持|意見反饋|sitemap

中國傳動網(wǎng)-工業(yè)自動化與智能制造的全媒體“互聯(lián)網(wǎng)+”創(chuàng)新服務(wù)平臺

網(wǎng)站客服服務(wù)咨詢采購咨詢媒體合作

Chuandong.com Copyright ?2005 - 2024 ,All Rights Reserved 版權(quán)所有 粵ICP備 14004826號 | 營業(yè)執(zhí)照證書 | 不良信息舉報中心 | 粵公網(wǎng)安備 44030402000946號