技術頻道

娓娓工業(yè)
您現(xiàn)在的位置: 中國傳動網(wǎng) > 技術頻道 > 技術百科 > 西門子S7-200系列PLC自由口通信程序設計之數(shù)據(jù)的發(fā)送

西門子S7-200系列PLC自由口通信程序設計之數(shù)據(jù)的發(fā)送

時間:2018-03-26 11:10:56來源:網(wǎng)絡轉載

導語:?在設計自由口通信程序時,自由口通信程序設計的核心就是使用發(fā)送指令XMT和接收指令RCV對數(shù)據(jù)進行發(fā)送和接收。接收指令RCV應用的難點,在于如何設置“判斷接收消息的起始條件和結束條件”,而對于發(fā)送指令來講相對的比較簡單,只需要準備好數(shù)據(jù),然后使用發(fā)送指令發(fā)送出去即可。

在設計自由口通信程序時,自由口通信程序設計的核心就是使用發(fā)送指令XMT和接收指令RCV對數(shù)據(jù)進行發(fā)送和接收。接收指令RCV應用的難點,在于如何設置“判斷接收消息的起始條件和結束條件”,而對于發(fā)送指令來講相對的比較簡單,只需要準備好數(shù)據(jù),然后使用發(fā)送指令發(fā)送出去即可。

但實際應用中是否是真的就是這樣呢?在一個通信程序中,需要發(fā)送的數(shù)據(jù)可能比較多,比如說與三菱變頻器的通信,通過三菱變頻器的專用通信協(xié)議來通信,那么需要發(fā)送的數(shù)據(jù)時有運行命令,頻率修改,讀取一些參數(shù),而且這些數(shù)據(jù)均不一樣,因此需要先定義好不同的數(shù)據(jù)在不同的存儲器,當發(fā)送哪個命令的數(shù)據(jù)時就需要把數(shù)據(jù)給過去。真的是這樣做嗎?個人不贊同使用這種方法去做,個人比較贊同把需要發(fā)送的數(shù)據(jù)先做成一個功能塊,然后根據(jù)需要發(fā)送的數(shù)據(jù)填寫到這個功能塊的管腳上,發(fā)送指令放于這個功能塊中,當功能塊接通一次,就對一次的數(shù)據(jù)發(fā)送出去。

就以三菱專用通信協(xié)議為例,發(fā)送運行命令和修改頻率及讀取一些參數(shù)的功能為例來進行說明。

三菱專用通信協(xié)議內(nèi)容具體大家可以自己網(wǎng)上查找,這里不做詳細的說明,這里我們主要看下PLC需要發(fā)送到變頻器的數(shù)據(jù)的格式。

PLC往變頻器寫數(shù)據(jù)時需要發(fā)送的格式:根據(jù)數(shù)據(jù)長度的不一樣分為三種格式,如下所示:

PLC讀取變頻器數(shù)據(jù)的時需要發(fā)送的數(shù)據(jù)格式

變頻器運行代碼、修改頻率、讀取變頻器參數(shù)的代碼如下表所示

結合上面的發(fā)送數(shù)據(jù)的格式,和代碼表,我們可以發(fā)現(xiàn),發(fā)現(xiàn)運行命令,和發(fā)送頻率,以及讀取變頻器的參數(shù)值的格式都不一樣,但也有前面部分相同的內(nèi)容,所以我們在設計功能塊時需要做判斷,判斷是“讀命令”還是“寫命令”,如果是寫命令,則還進一步判斷是“寫運行命令”還是寫“頻率命令”根據(jù)這原理,我們可以開始設計功能塊。

(1)編寫變量表

(2)程序

編寫好功能塊后,只需要在主程序中調(diào)用這些功能塊,同時給不同的功能塊賦實參即可,如下所示:

 

標簽:

點贊

分享到:

上一篇:談談變頻器在塑料薄膜機械中...

下一篇:三菱PLC編程常見問題100問(上)

中國傳動網(wǎng)版權與免責聲明:凡本網(wǎng)注明[來源:中國傳動網(wǎng)]的所有文字、圖片、音視和視頻文件,版權均為中國傳動網(wǎng)(www.treenowplaneincome.com)獨家所有。如需轉載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個人轉載使用時須注明來源“中國傳動網(wǎng)”,違反者本網(wǎng)將追究其法律責任。

本網(wǎng)轉載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權屬于原版權人。轉載請保留稿件來源及作者,禁止擅自篡改,違者自負版權法律責任。

網(wǎng)站簡介|會員服務|聯(lián)系方式|幫助信息|版權信息|網(wǎng)站地圖|友情鏈接|法律支持|意見反饋|sitemap

中國傳動網(wǎng)-工業(yè)自動化與智能制造的全媒體“互聯(lián)網(wǎng)+”創(chuàng)新服務平臺

網(wǎng)站客服服務咨詢采購咨詢媒體合作

Chuandong.com Copyright ?2005 - 2024 ,All Rights Reserved 版權所有 粵ICP備 14004826號 | 營業(yè)執(zhí)照證書 | 不良信息舉報中心 | 粵公網(wǎng)安備 44030402000946號