技術(shù)頻道

娓娓工業(yè)
您現(xiàn)在的位置: 中國(guó)傳動(dòng)網(wǎng) > 技術(shù)頻道 > 應(yīng)用方案 > FPGA應(yīng)用上的按鍵該如何消抖動(dòng)?

FPGA應(yīng)用上的按鍵該如何消抖動(dòng)?

時(shí)間:2018-04-19 16:42:48來源:網(wǎng)絡(luò)轉(zhuǎn)載

導(dǎo)語(yǔ):?簡(jiǎn)單的說,進(jìn)入了電子,不管是學(xué)純模擬,還是學(xué)單片機(jī),DSP、ARM等處理器,或者是我們的FPGA,一般沒有不用到按鍵的地方。按鍵:人機(jī)交互控制,主要用于對(duì)系統(tǒng)的控制,信號(hào)的釋放等。因此在這里,F(xiàn)PGA上應(yīng)用的按鍵消抖動(dòng),也不得不講!

簡(jiǎn)單的說,進(jìn)入了電子,不管是學(xué)純模擬,還是學(xué)單片機(jī),DSP、ARM等處理器,或者是我們的FPGA,一般沒有不用到按鍵的地方。按鍵:人機(jī)交互控制,主要用于對(duì)系統(tǒng)的控制,信號(hào)的釋放等。因此在這里,FPGA上應(yīng)用的按鍵消抖動(dòng),也不得不講!

一、為什么要消抖動(dòng)

如上圖所示,在按鍵被按下的短暫一瞬間,由于硬件上的抖動(dòng),往往會(huì)產(chǎn)生幾毫秒的抖動(dòng),在這時(shí)候若采集信號(hào),勢(shì)必導(dǎo)致誤操作,甚至系統(tǒng)崩潰;同樣,在釋放按鍵的那一刻,硬件上會(huì)相應(yīng)的產(chǎn)生抖動(dòng),會(huì)產(chǎn)生同樣的后果。因此,在模擬或者數(shù)字電路中,我們要避免在最不穩(wěn)定的時(shí)候采集信號(hào),進(jìn)行操作。

對(duì)此一般產(chǎn)用消抖動(dòng)的原理。一般可分為以下幾種:

(1)延時(shí)

(2)N次低電平計(jì)數(shù)

(3)低通濾波

在數(shù)字電路中,一般產(chǎn)用(1)(2)種方法。后文中將詳細(xì)介紹。

二、各種消抖動(dòng)

1.模擬電路按鍵消抖動(dòng)

對(duì)于模擬電路中,一般消抖動(dòng)用的是電容消抖動(dòng)或者施密特觸發(fā)等電路,再次不做具體介紹。

2.單片機(jī)中按鍵消抖動(dòng)

對(duì)于單片機(jī)中的按鍵消抖動(dòng),本節(jié)Bingo根據(jù)自己當(dāng)年寫過的單片機(jī)其中的一個(gè)代碼來講解,代碼如下所示:

unsignedcharkey_sCAN(void)

{

if(key==0)//檢測(cè)到被按下

{

delay(5);//延時(shí)5ms,消抖

if(key!=0)

retrurn0;//是抖動(dòng),返回退出

while(!key1);//確認(rèn)被按下,等下釋放

delay(5);//延時(shí)5ms,消抖

while(!key1);//確認(rèn)被釋放

return1;//返回按下信號(hào)

}

return0;//沒信號(hào)

}

針對(duì)以上代碼,消抖動(dòng)的順序如下所示:

(1)檢測(cè)到信號(hào)

(2)延時(shí)5ms,消抖動(dòng)

(3)繼續(xù)檢測(cè)信號(hào),確認(rèn)是否被按下

a)是,則開始等待釋放

b)否,則返回0,退出

(4)延時(shí)5ms,消抖動(dòng)

(5)確認(rèn),返回按下信號(hào),退出

當(dāng)然在單片機(jī)中也可以循環(huán)計(jì)數(shù)來確認(rèn)是否被按下。Bingo認(rèn)為如此,太耗MCU資源,因此再次不做講述。

3.FPGA中的按鍵消抖動(dòng)

對(duì)于FPGA中的消抖動(dòng),很多教科書上都沒有講述。但Bingo覺得這個(gè)很有必要。對(duì)于信號(hào)穩(wěn)定性以及準(zhǔn)確性分析,按鍵信號(hào)必須有一個(gè)穩(wěn)定的脈沖,不然對(duì)系統(tǒng)穩(wěn)定性有很大的干擾。

此處Bingo用兩種方法對(duì)FPGA中按鍵消抖動(dòng)分析。其中第一種是通過狀態(tài)機(jī)的使用直接移植以上MCU的代碼,這個(gè)思想在FPGA狀態(tài)機(jī)中很重要。第二種,通過循環(huán)n次計(jì)數(shù)的方法來確認(rèn)是否真的被按下,這種方法很實(shí)用在FPGA這種高速并行器件中。

(1)利用狀態(tài)機(jī)移植MCU按鍵消抖動(dòng)

此模塊由Bingo無數(shù)次修改測(cè)試最后成型的代碼,在功能上可適配n個(gè)按鍵,在思想上利用單片機(jī)采用了單片機(jī)消抖動(dòng)的思想。具體代碼實(shí)現(xiàn)過程請(qǐng)有需要的自行分析,本模塊移植方便,Verilog代碼如下所示:

/*************************************************

*ModuleName:key_scan_jitter.v

*Engineer:CrazyBingo

*TargetDevICe:EP2C8Q208C8

*Toolversions:QUARTusII11.0

*CreateDate:2011-6-26

*Revision:v1.0

*DescripTIon:

**************************************************/

modulekey_scan_jitter

#(

parameterKEY_WIDTH=2

)

(

inputclk,

inputrst_n,

input[KEY_WIDTH-1:0]key_data,

outputkey_flag,

outputreg[KEY_WIDTH-1:0]key_value

);

reg[19:0]cnt;//delay_5ms(249999)

reg[2:0]state;

//-----------------------------------

always@(posedgeclkornegedgerst_n)

標(biāo)簽:

點(diǎn)贊

分享到:

上一篇:手把手教你玩轉(zhuǎn)嵌入式Keil

下一篇:羅姆推出650V耐壓IGBT和RGW系...

中國(guó)傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:凡本網(wǎng)注明[來源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

網(wǎng)站簡(jiǎn)介|會(huì)員服務(wù)|聯(lián)系方式|幫助信息|版權(quán)信息|網(wǎng)站地圖|友情鏈接|法律支持|意見反饋|sitemap

中國(guó)傳動(dòng)網(wǎng)-工業(yè)自動(dòng)化與智能制造的全媒體“互聯(lián)網(wǎng)+”創(chuàng)新服務(wù)平臺(tái)

網(wǎng)站客服服務(wù)咨詢采購(gòu)咨詢媒體合作

Chuandong.com Copyright ?2005 - 2024 ,All Rights Reserved 版權(quán)所有 粵ICP備 14004826號(hào) | 營(yíng)業(yè)執(zhí)照證書 | 不良信息舉報(bào)中心 | 粵公網(wǎng)安備 44030402000946號(hào)