技術(shù)頻道

娓娓工業(yè)
您現(xiàn)在的位置: 中國傳動(dòng)網(wǎng) > 技術(shù)頻道 > 技術(shù)百科 > 基于NiosII的嵌入式網(wǎng)絡(luò)通信系統(tǒng)

基于NiosII的嵌入式網(wǎng)絡(luò)通信系統(tǒng)

時(shí)間:2009-01-04 11:55:40來源:zhangting

導(dǎo)語:?隨著internet技術(shù)的發(fā)展,在許多領(lǐng)域都引起了飛躍性的變化。
1 引言 隨著internet技術(shù)的發(fā)展,在許多領(lǐng)域都引起了飛躍性的變化。嵌入式系統(tǒng)應(yīng)用領(lǐng)域中一個(gè)新的趨勢(shì)就是開始在嵌入式設(shè)備上集成網(wǎng)絡(luò)通信功能,比如網(wǎng)絡(luò)監(jiān)控、網(wǎng)絡(luò)數(shù)據(jù)采集系統(tǒng)等,以便于通過網(wǎng)絡(luò)與遠(yuǎn)程設(shè)備進(jìn)行信息的交互和增強(qiáng)系統(tǒng)的互連性,僅僅需要一根網(wǎng)線就可以輕輕松松完成系統(tǒng)的互連。 目前市場(chǎng)上的嵌入式處理器的種類很多。altera公司推出了第二代片上可編程嵌入式軟核處理器nios ii,再配上其低成本、高性價(jià)比的fpga器件,使得嵌入式系統(tǒng)的開發(fā)變得越發(fā)方便。再者由于nios ii可配置和fpga器件可編程的特點(diǎn),與專用硬件相比靈活性得有很大的優(yōu)勢(shì),從而使得fpga器件在現(xiàn)代電子設(shè)計(jì)中得到廣泛的應(yīng)用。 2 系統(tǒng)設(shè)計(jì) 2.1 nios ii簡介 nios ii嵌入式軟核處理器是altera公司推出的第二代片上可編程的軟核處理器,nios ii是可配置的,用戶可以根據(jù)自己的實(shí)際需要進(jìn)行處理器的配置,以達(dá)到資源的合理使用,其性能可以超過200dmips。nios ii采用哈佛結(jié)構(gòu),具有32位指令集,32位數(shù)據(jù)通道和可配置的指令及數(shù)據(jù)緩沖,而且支持用戶自定義指令(最多256個(gè))以擴(kuò)展cpu指令集,提升系統(tǒng)的性能,為可編程片上系統(tǒng)(sopc)設(shè)計(jì)提供了一套完整的解決方案。nios ii提供了三種內(nèi)核:nios ii/f是一種高性能的內(nèi)核,速度最快但消耗的資源最多;nios ii/e是低成本內(nèi)核,速度最慢消耗的資源最少;nios ii/s是一種性能和成本折中的內(nèi)核。本設(shè)計(jì)采用的是nios ii/s內(nèi)核。 nios ii的優(yōu)勢(shì)就在于其靈活性,可以根據(jù)用戶的需求進(jìn)行靈活的配置和裁剪?;趎ios ii軟核的系統(tǒng)設(shè)計(jì)利用altera公司提供的系統(tǒng)設(shè)計(jì)工具sopc builder和集成開發(fā)環(huán)境niosii ide可以進(jìn)行軟硬件協(xié)同設(shè)計(jì),很大程度上縮短開發(fā)周期,在系統(tǒng)開發(fā)的任何階段要都可以很方便的對(duì)系統(tǒng)進(jìn)行修改。fpga器件豐富的邏輯資源,結(jié)合nios ii強(qiáng)大的處理能力,將為嵌入式系統(tǒng)設(shè)計(jì)提供有效的解決方案。 2.2 系統(tǒng)設(shè)計(jì) [align=center] 圖 1 系統(tǒng)模塊圖[/align] 系統(tǒng)模塊圖如圖1所示。設(shè)計(jì)采用了altera公司的cyclone ii系列芯片。在其中配置niosii軟核處理器控制外圍設(shè)備和協(xié)議棧的運(yùn)行。而且添加了一個(gè)計(jì)算校驗(yàn)和的用戶指令(cal_checksum)。 片上ram用作內(nèi)存運(yùn)行程序;flash使用了sharp公司的lh28f160s3t-l13a,用來存儲(chǔ)程序和配置信息,系統(tǒng)上電后,先將程序從flash中拷貝到片上ram運(yùn)行;網(wǎng)絡(luò)接口芯片使用smsc公司的10/100m以太網(wǎng)接口控制芯片lan9c111,所以在sopc builder中配置系統(tǒng)時(shí),需要加上altera免費(fèi)提供的lan9c111接口控制器,嵌入式設(shè)備通過lan9c111就可以接入以太網(wǎng),與網(wǎng)絡(luò)上的其他設(shè)備進(jìn)行網(wǎng)絡(luò)通信;sdram選用micron公司的mt48lc8m16a2,用來緩存網(wǎng)絡(luò)數(shù)據(jù),其中sdram控制器使用altera免費(fèi)提供的sdram控制器。 要提高系統(tǒng)的性能,一方面是提高處理器的處理能力;另一方面就是將用軟件處理比較費(fèi)時(shí)間的模塊使用硬件模塊來實(shí)現(xiàn)。經(jīng)過分析發(fā)現(xiàn),在網(wǎng)絡(luò)協(xié)議棧的運(yùn)行過程中,最耗費(fèi)時(shí)間的是校驗(yàn)和的計(jì)算,尤其是當(dāng)數(shù)據(jù)量非常大的時(shí)候。為此,專門用硬件定制了一個(gè)用戶指令校驗(yàn)和計(jì)算指令(cal_checksum),對(duì)數(shù)據(jù)校驗(yàn)和的計(jì)算實(shí)現(xiàn)硬件加速,作為一個(gè)指令添加到niosii系統(tǒng)中,在協(xié)議棧程序中調(diào)用來縮短計(jì)算校驗(yàn)和的時(shí)間,提高處理速度,達(dá)到提升系統(tǒng)性能的目的。 2.3 校驗(yàn)和計(jì)算指令(cal_chkusm) 在上面的分析中已經(jīng)提到過,在整個(gè)協(xié)議棧的處理中,最耗時(shí)間的就是數(shù)據(jù)校驗(yàn)和的計(jì)算,特別是當(dāng)數(shù)據(jù)長度很大時(shí)。所以在設(shè)計(jì)中將數(shù)據(jù)校驗(yàn)和的計(jì)算采用硬件模塊來實(shí)現(xiàn),作為niosii的一個(gè)用戶自定義指令,以提高校驗(yàn)和計(jì)算的速度。在協(xié)議棧的移植過程中,將數(shù)據(jù)的寬度修改為16位,方便最后將16位校驗(yàn)和的計(jì)算結(jié)果添加到相應(yīng)的域。 校驗(yàn)和的計(jì)算需要很多個(gè)時(shí)鐘周期才能完成,所以校驗(yàn)和計(jì)算指令使用了multi-cycle用戶指令結(jié)構(gòu)。multi-cycle用戶指令的端口操作有:固定長度和可變長度。因?yàn)榫W(wǎng)絡(luò)傳輸?shù)臄?shù)據(jù)長度是不斷變化的,所以校驗(yàn)和計(jì)算指令選擇可變長度操作,這也影響到端口信號(hào)的選擇。   multi-cycle用戶指令結(jié)構(gòu)的信號(hào)有:reset,clk,clk_en,start,dataa[31:0],datab[31:0],done,result[31:0]。其中除了reset,clk,clk_en三個(gè)信號(hào)外,其他信號(hào)都是可選的,要根據(jù)具體的應(yīng)用選擇。本設(shè)計(jì)中校驗(yàn)和計(jì)算指令用到了start,dataa[31:0],done,result[31:0]。start信號(hào)作為數(shù)據(jù)有效信號(hào),dataa[31:0]為數(shù)據(jù)輸入信號(hào),當(dāng)校驗(yàn)和計(jì)算完成時(shí)done信號(hào)有效,通知cpu讀取result端口上的數(shù)據(jù),因此計(jì)算校驗(yàn)和指令邏輯必須確保當(dāng)done信號(hào)有效時(shí),result端口上的數(shù)據(jù)有效。 在sopc builder中添加校驗(yàn)和計(jì)算指令,然后重新產(chǎn)生系統(tǒng),再在quartusii中更新并編譯,在ide的重新編譯一次工程,系統(tǒng)庫中的“system.h”文件包含校驗(yàn)和計(jì)算指令的宏定義如下:   #define alt_ci_cal_chksum_n 0x00000000   #define alt_ci_cal_chksum(a) __builtin_custom_ini(alt_ci_cal_chksum_n,(a)) 用戶只需要在源文件中將計(jì)算校驗(yàn)和部分使用上面的宏做相應(yīng)的替換就可以,對(duì)用戶來說這再簡單不過了,就像是調(diào)用一個(gè)子函數(shù)。由此可見,基于niosii軟核處理器開發(fā)的嵌入式系統(tǒng),具有一般嵌入式處理器不能比擬的優(yōu)勢(shì)。 3 軟件設(shè)計(jì) 協(xié)議棧使用了現(xiàn)在在嵌入式應(yīng)用領(lǐng)域已經(jīng)很成熟的uip。如果使用altera提供的lwip協(xié)議棧,那么就得考慮其在嵌入式操作系統(tǒng)上的移植,這樣無疑會(huì)增加系統(tǒng)軟件設(shè)計(jì)的復(fù)雜度和代碼量。 設(shè)計(jì)的關(guān)鍵部分是uip協(xié)議棧在nios ii上的移植。針對(duì)niosii的特點(diǎn),將uip做適當(dāng)?shù)男薷?,使niosii處理器的處理能力得到充分發(fā)揮,提高系統(tǒng)的性能。uip原來是針對(duì)8位處理器的,現(xiàn)在將其修改為16位,這樣理論上處理能力就提高了一倍,系統(tǒng)的性能也就提升了一倍。 根據(jù)tcp/ip模型結(jié)構(gòu),數(shù)據(jù)鏈路層和物理層使用網(wǎng)絡(luò)接口芯片lan9c111完成;網(wǎng)絡(luò)層和傳輸層協(xié)議解析在niosii中由嵌入式tcp/ip協(xié)議棧實(shí)現(xiàn);應(yīng)用層由用戶根據(jù)需要編寫。各個(gè)模塊合起來共同完成網(wǎng)絡(luò)通信功能。 3.1 底層驅(qū)動(dòng)程序的編寫 lan9c111芯片的底層驅(qū)動(dòng)是由altera免費(fèi)提供的,可以調(diào)用這些底層驅(qū)動(dòng)來完成初始化、通信建立、監(jiān)聽等通信功能。這些底層驅(qū)動(dòng)函數(shù)是已經(jīng)封裝好的,用戶不需要了解其細(xì)節(jié)就可以編寫網(wǎng)絡(luò)通信程序,但是為了進(jìn)行高級(jí)的開發(fā),必須對(duì)整個(gè)程序的工作機(jī)制有一定的了解。 幾個(gè)主要的底層驅(qū)動(dòng)函數(shù)來完成啟動(dòng)、復(fù)位和初始化phy、以太網(wǎng)packet的收發(fā)及中斷處理函數(shù)等工作:   static void r_lan91c111_enable():用于啟動(dòng)芯片工作,使能發(fā)送和接收。   int nr_lan91c111_reset():對(duì)芯片盡心復(fù)位。   static int r_lan91c111_init_phy( ):完成物理層芯片的初始化。   int nr_lan91c111_set_irq():設(shè)置芯片的中斷   上層的通信程序調(diào)用這些函數(shù)實(shí)現(xiàn)網(wǎng)絡(luò)接口的控制,通信功能的實(shí)現(xiàn)以此為基礎(chǔ)。 3.2 通信軟件的實(shí)現(xiàn) 通信軟件完成設(shè)備之間通信的主要功能,主要是uip協(xié)議棧的。傳輸層實(shí)現(xiàn)了tcp和udp,這在軟件設(shè)計(jì)時(shí)就需要做不同的考慮,不同的數(shù)據(jù)傳輸需求要求使用不同的傳輸層協(xié)議,比如,實(shí)時(shí)性要求高的傳輸應(yīng)用就要求使用無連接的udp協(xié)議;對(duì)實(shí)時(shí)性要求不高并且要保證數(shù)據(jù)傳輸?shù)目煽啃缘膽?yīng)用使用面向連接的、可靠的tcp協(xié)議可以保證傳輸?shù)目煽啃浴?   程序框架如下:    main()  ?。?    netif_init(); // 網(wǎng)絡(luò)接口初始化    uip_init(); // 協(xié)議棧初始化    arp_table_init(); // arp 表初始化    netconn_new() // 建立新的連接    netconn_bind() // 綁定端口號(hào)    netconn_listen() // 偵聽端口    while(1)    {    if (收到數(shù)據(jù)){    …… // 處理接收的數(shù)據(jù)    }    else if(發(fā)送數(shù)據(jù)){    …… // 發(fā)送數(shù)據(jù)    }   else    …… // 返回準(zhǔn)備下次處理    }  ?。?   圖2是軟件處理流程。 [align=center] 圖2 通信軟件流程圖[/align] 4 結(jié)束語   采用niosii進(jìn)行系統(tǒng)設(shè)計(jì),由于sopc builder工具的強(qiáng)大系統(tǒng)開發(fā)功能再加上niosii ide集成開發(fā)環(huán)境,使得系統(tǒng)的設(shè)計(jì)變得簡單方便、可擴(kuò)展性強(qiáng),并且系統(tǒng)軟件的設(shè)計(jì)與系統(tǒng)設(shè)計(jì)同時(shí)進(jìn)行,大大縮短開發(fā)周期。在該網(wǎng)絡(luò)平臺(tái)的設(shè)計(jì)中,使用了嵌入式領(lǐng)域非常成熟的uip,并且是開放源代碼的,所以對(duì)uip的移植非常的方便,只是對(duì)其進(jìn)行修改以最大限度的發(fā)揮niosii處理器的特點(diǎn),再加上簡單靈活的用戶自定義指令,對(duì)系統(tǒng)性能的提升起到很大作用。這個(gè)系統(tǒng)已經(jīng)在硬件上經(jīng)過了驗(yàn)證,可以進(jìn)行可靠、穩(wěn)定的網(wǎng)絡(luò)通信,并已經(jīng)應(yīng)用于一個(gè)要求遠(yuǎn)程網(wǎng)絡(luò)通信功能的數(shù)據(jù)處理機(jī)系統(tǒng)。

標(biāo)簽:

點(diǎn)贊

分享到:

上一篇:基于工業(yè)以太網(wǎng)的袋式除塵器...

下一篇:微能WIN-V63矢量控制變頻器在...

中國傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:凡本網(wǎng)注明[來源:中國傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來源“中國傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

相關(guān)資訊

網(wǎng)站簡介|會(huì)員服務(wù)|聯(lián)系方式|幫助信息|版權(quán)信息|網(wǎng)站地圖|友情鏈接|法律支持|意見反饋|sitemap

中國傳動(dòng)網(wǎng)-工業(yè)自動(dòng)化與智能制造的全媒體“互聯(lián)網(wǎng)+”創(chuàng)新服務(wù)平臺(tái)

網(wǎng)站客服服務(wù)咨詢采購咨詢媒體合作

Chuandong.com Copyright ?2005 - 2024 ,All Rights Reserved 版權(quán)所有 粵ICP備 14004826號(hào) | 營業(yè)執(zhí)照證書 | 不良信息舉報(bào)中心 | 粵公網(wǎng)安備 44030402000946號(hào)