半導體設備一機難求,國產(chǎn)機會來了?

時間:2022-03-15

來源:

導語:半導體制造設備交付延期早已經(jīng)不是“新聞”,但來到2022年,全球芯片短缺和制造設備短缺之勢仍在蔓延,而且短期內(nèi)似乎沒法解決,更長的設備交貨期也意味著產(chǎn)能提升更慢,芯片缺貨漲價依舊是一場攻堅戰(zhàn)。

  但在這樣的背景下,國產(chǎn)半導體設備卻迎來了大秀拳腳的好機會。

  一、半導體設備交期大幅延長

  當下,與全球芯片短缺相對應的,半導體設備交期延長的消息也此起彼伏。設備交期如霧里看花,有的是1年、2年不等,而且還在延長。

  據(jù)業(yè)內(nèi)從業(yè)人士透露,現(xiàn)在半導體測試ATE設備交期普遍超過6個月,比較搶手的測試設備訂單已經(jīng)排到2024年。不止是ATE設備,探針臺的交期也普遍超過12個月。這主要由于主流機臺都是日本生產(chǎn),其保守穩(wěn)健的生產(chǎn)方式,導致其生產(chǎn)遠遠跟不上市場需求。

  半導體測試設備大廠愛德萬測試董事長暨總經(jīng)理吳萬錕日前表示,受到邏輯芯片需求強勁,愛德萬今年芯片自動化檢測設備創(chuàng)下歷年最佳接成單,但也受到芯片及部分原材料短缺影響,設備交貨期拉長至少要半年以上,他還強調(diào),受到成本上揚影響,公司不排除在新年度調(diào)漲測試設備價格。

  而半導體設備龍頭應用材料也表示受到零部件短缺等問題,據(jù)介紹,本季度應用材料總共增加了13億美元,積壓總量達到了巨大的80億美元,這也說明即該公司2022年的產(chǎn)能接近售罄。

  據(jù)證券時報的報道,在化合物半導體領域,上游關(guān)鍵的MOCVD設備受零部件供應緊張的影響,交貨周期再度延長,最新需要至10個月左右。

  可以說,幾乎所有的設備交期都延長了,半導體設備搶奪戰(zhàn)早已打響,客戶紛紛提前下單,訂單積壓已經(jīng)是業(yè)內(nèi)常態(tài)。去年基本所有的半導體設備供應商都賺的盆滿缽滿,舉個例子,在2021年,ASML出貨了286臺光刻機,創(chuàng)造了186億歐元的營收,其中僅EUV光刻機就達到了42臺。其他廠商具體可查看《賺到手軟的半導體設備廠商》。據(jù)SEMI預測,2021年和2022年全球半導體設備銷售額將分別達到953和1013億美元,同比增長34.1%和6.3%。

115523609040.png

  ASML過去十年財報數(shù)據(jù)一覽

  二、不止搶新設備,二手設備甚至更“香”

  當下,無論是晶圓代工廠還是IDM廠商,甚至是部分Fabless企業(yè)都在進行擴產(chǎn)、建廠,成熟節(jié)點也是一大方向。但問題來了,如果要擴大舊芯片的生產(chǎn)能力,這些買家就要面臨一個艱難的選擇:要么進入新設備的漫長等待周期,主要設備制造商的交期都沒有縮短的跡象;要么是購買舊的二手設備,但二手設備現(xiàn)在也很緊俏。

  其實從2016年開始,對制造芯片的新舊設備的需求都在增長。新設備交期延長直接推高了二手設備成交價和成交量,部分二手設備的翻新交付價格已經(jīng)接近新機甚至超過新機。當下的二手半導體設備交易市場可謂是“量價齊升”。例如,佳能FPA3000i4.一款1995年生產(chǎn)的光刻設備,用于在芯片上蝕刻電路,2014年10月它的價值只有10萬美元,而現(xiàn)在已經(jīng)漲到了170萬美元。

  去年的汽車芯片短缺使我們意識到,我們使用的產(chǎn)品中大多數(shù)芯片都是用較老的制造技術(shù)制造的,有很多是在二手設備上生產(chǎn)的。據(jù)SDI Fabsurplus的老板Howe估計,全球可能大約有三分之一的微芯片是在二手設備上制造的。SurplusGLOBAL首席執(zhí)行官 Bruce Kim曾表述,公司在過去20年中回收了40000件工具。

  所以不僅僅是如應用材料、KLA等半導體設備大廠商去年賺到手軟,據(jù)全球領先的二手半導體設備商SurplusGLOBAL中國區(qū)總經(jīng)理陳真告訴半導體行業(yè)觀察,“SurplusGLOBAL 2021年的全球銷售額比2020年接近翻番,中國區(qū)銷售額翻番。二手半導體設備如此火熱的原因,優(yōu)勢主要體現(xiàn)在交期短上面?!?/p>

  三、轉(zhuǎn)用國產(chǎn)設備或許是一個出路

  在全球半導體設備需求暴漲,而新設備和二手設備都缺貨的情況下,再加上國外供應鏈緊張的紅利之下,國產(chǎn)半導體設備公司真正迎來了產(chǎn)業(yè)化的大機遇。據(jù)了解,現(xiàn)在許多國產(chǎn)半導體設備公司的訂單也爆滿,產(chǎn)品交貨期普遍延長。

  陳真直言道,現(xiàn)在部分少量國產(chǎn)設備已經(jīng)在性能上趕上了國外原廠設備,而且交期方面相對較好,客戶的認可度也隨之增高,相對應的這部分二手設備在國內(nèi)的售價會低于國外的售價,或者說他們的存在就給某一類型設備的價格設了天花板。

  縱觀整個半導體生產(chǎn)設備行業(yè),國產(chǎn)半導體設備企業(yè)已經(jīng)在大部分半導體生產(chǎn)設備環(huán)節(jié)中布局和滲透。集成電路制造設備通常分為前道工藝設備(芯片制造)和后道工藝設備(芯片封裝測試)兩大類,前者主要包括六大工藝步驟,分別為:熱處理、光刻、刻蝕、離子注入、薄膜沉積、機械拋光,所對應的專用設備主要包括快速熱處理/氧化/擴散設備、光刻設備、刻蝕/去膠設備、離子注入設備、薄膜沉積設備、機械拋光設備等。其中,光刻設備、刻蝕設備、薄膜沉積設備以及離子注入設備是同列為前道四大集成電路制造關(guān)鍵設備。后者主要是封裝設備和各類測試設備。

  目前,去膠設備、清洗設備、刻蝕設備等產(chǎn)品國產(chǎn)化率較高,而涂膠顯影設備、光刻設備則主要依賴進口。

  在熱處理設備領域,根據(jù)Gartner統(tǒng)計數(shù)據(jù),2020年應用材料市占全球第一,占比約69.72%,全球第一。國內(nèi)方面,2020年屹唐股份快速熱處理設備的全球市場占有率為11.50%。在干法刻蝕領域,公司2020年憑借0.1%的市場占有率位居全球第十。

  在光刻機領域,我國起步更晚一些,不過國內(nèi)光刻機已經(jīng)實現(xiàn)了從0到1的突破,上海微電子的SSX600系列步進掃描投影光刻機,可滿足IC前道制造90nm、110nm、280nm關(guān)鍵層和非關(guān)鍵層的光刻工藝需求。該設備可用于8寸線或12寸線的大規(guī)模工業(yè)生產(chǎn)。

  在蝕刻設備領域,中微公司等已占據(jù) 20%左右的市場份額。中微公司此前曾表示,這幾年公司的刻蝕設備在國內(nèi)主要客戶端市場占有率不斷提升,在邏輯集成電路制造環(huán)節(jié),公司開發(fā)的12英寸高端刻蝕設備已運用在國際知名客戶65納米到5納米等先進的芯片生產(chǎn)線上;同時,公司已開發(fā)出小于5納米刻蝕設備用于若干關(guān)鍵步驟的加工,并已獲得行業(yè)領先客戶的批量訂單。而其也表示,目前公司刻蝕設備交期較過去有所延長。

  去膠設備方面,我國已完成大部分國產(chǎn)化,屹唐的干法去膠設備全球市占率超過30%,全球第一,在國內(nèi)則占據(jù)90%的市場。

  離子注入設備約占前道晶圓設備市場的3%份額,在這方面,國內(nèi)萬業(yè)企業(yè)旗下的凱世通已在2020年12月,與同芯成科技簽署了3套集成電路制備用離子注入機訂單;中電科旗下的中科信在某些12寸晶圓產(chǎn)線上獲得工藝驗證。離子注入機的國產(chǎn)化仍處于快速起步階段。兩家公司目前均有離子注入機臺導入客戶驗證,有望彌補國內(nèi)半導體設備行業(yè)的短板。

  薄膜沉積設備方面,中國的國產(chǎn)化相對還較低,北方華創(chuàng)CVD、PVD等相關(guān)設備已具備28nm工藝水平,拓荊科技CVD和ALD相關(guān)設備已成功應用于14nm及以上制程集成電路制造產(chǎn)線,和更先進制程的產(chǎn)品驗證測試。

  CMP技術(shù),即化學機械拋光,國內(nèi)廠商已具備一定生產(chǎn)能力:華海清科已有12英寸和8英寸的CMP設備,并已導入中芯國際、長江存儲等一線制造廠商,中電科45所的8英寸設備也已導入中芯國際、華虹等廠商的產(chǎn)線,國內(nèi)CMP設備市占率提升正當時。

  在涂膠顯影設備方面,主要被日本東京電子(TEL)所壟斷。國內(nèi)的芯源微的涂膠顯影設備,作為國產(chǎn)化設備已逐步得到驗證及應用,實現(xiàn)小批量生產(chǎn)。公司該類設備陸續(xù)獲得了上海華力、長江存儲、武漢新芯、中芯紹興、廈門士蘭集科、上海積塔、株洲中車、青島芯恩、中芯寧波、昆明京東方等多個前道大客戶訂單。

  前道檢測設備方面,精測電子、上海睿勵、中科飛測、東方晶源、匠嶺等廠商都有產(chǎn)品實現(xiàn)突破。精測電子在互動易回復投資者稱,公司于2021年7月份出機某頭部晶圓廠的12英寸獨立式光學線寬測量設備(OCD)、12英寸全自動電子束晶圓缺陷復查設備(Review SEM),目前驗證進展非常順利。

  集成電路制造前道晶圓加工領域用清洗設備主要被日本迪恩士(DNS)等廠商所壟斷,盛美股份、北方華創(chuàng)已有所市占,至純科技能提供28nm節(jié)點的全部濕法工藝設備,芯源微生產(chǎn)單片式清洗機Spin Scrubber 設備已經(jīng)達到國際先進水平,在國內(nèi)多個重要客戶處獲得批量重復訂單。該類設備已在中芯國際、上海華力、廈門士蘭集科等多個客戶處通過工藝驗證,并已獲得國內(nèi)多家 Fab 廠商的批量重復訂單。

  在后道設備中,封裝所需的設備類型較多,主要包括貼片機、劃片機/檢測設備、引線焊接設備、塑封/切筋成型設備等。2021年9月,國內(nèi)封裝設備企業(yè)凌波微步獲千萬融資,打入國內(nèi)封測龍頭。凌波微步主要生產(chǎn)傳統(tǒng)封裝引線鍵合過程中所使用到的 IC 球焊設備。據(jù)了解,IC球焊機是封裝設備市場難度最高的核心設備。此前凌波微步李煥然稱,公司產(chǎn)能壓力很大,正在逐步擴大產(chǎn)能,預計2022年產(chǎn)能能夠達到1500臺至 2000 臺。

  后道測試設備又包括三類:ATE測試機、探針臺(prober)、分選機(Handler)等。其中ATE測試機是測試的核心設備,在這方面,國外的泰瑞達和愛德萬雙寡頭在ATE設備領域占據(jù)95%以上的市場份額。國內(nèi)長川科技、華峰測控等上公司在這領域也有了較深的發(fā)力。此外,2020年底摩爾精英完成了對ATE測試機臺設備VLCT與團隊的收購,目前有現(xiàn)成的機臺。據(jù)悉,摩爾精英的VLCT/ME-T0設備已經(jīng)過20多年的迭代研發(fā),測試出貨了100多億顆芯片,這些機臺是為“量產(chǎn)”而生,能覆蓋70%芯片種類的測試需求,包含digital、analog、mixed signal、RF等,在MCU、電源管理芯片、混合信號芯片與IoT芯片的測試上有非常好的優(yōu)勢。目前摩爾精英的VLCT設備已經(jīng)在國內(nèi)前三的芯片設計公司投入量產(chǎn),多家國內(nèi)芯片設計企業(yè)已經(jīng)在使用VLCT進行量產(chǎn),同時國際市場開展順利,成功打入全球前三的射頻國際巨頭供應鏈。

  所以如前文所述,幾乎所有半導體制造設備交期都很長,許多的測試機臺交期都在一年之久,選用國內(nèi)的半導體制造設備(如現(xiàn)成的測試機臺)不失為另一種好的選擇。

  四、結(jié)語

  近年來國內(nèi)半導體設備廠商的技術(shù)水平實現(xiàn)快速突破,國產(chǎn)化也在加速中。

  但國內(nèi)半導體設備總體來看,還與國際面臨著很大差距,國內(nèi)設備廠商應抓住國產(chǎn)化這個契機,向“專精”方向發(fā)展,同時也要走向國際化,更長遠的發(fā)展。


中傳動網(wǎng)版權(quán)與免責聲明:

凡本網(wǎng)注明[來源:中國傳動網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國傳動網(wǎng)(www.treenowplaneincome.com)獨家所有。如需轉(zhuǎn)載請與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個人轉(zhuǎn)載使用時須注明來源“中國傳動網(wǎng)”,違反者本網(wǎng)將追究其法律責任。

本網(wǎng)轉(zhuǎn)載并注明其他來源的稿件,均來自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請保留稿件來源及作者,禁止擅自篡改,違者自負版權(quán)法律責任。

如涉及作品內(nèi)容、版權(quán)等問題,請在作品發(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運動控制公眾號獲取更多資訊

關(guān)注直驅(qū)與傳動公眾號獲取更多資訊

關(guān)注中國傳動網(wǎng)公眾號獲取更多資訊

最新新聞
查看更多資訊

熱搜詞
  • 運動控制
  • 伺服系統(tǒng)
  • 機器視覺
  • 機械傳動
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機器人
  • 低壓電器
  • 機柜
回頂部
點贊 0
取消 0