中國(guó)發(fā)力,半導(dǎo)體設(shè)備的瘋狂還將延續(xù)

時(shí)間:2022-06-08

來(lái)源:半導(dǎo)體產(chǎn)業(yè)縱橫

導(dǎo)語(yǔ):自1956年中國(guó)將半導(dǎo)體作為國(guó)家重要的發(fā)展領(lǐng)域后,今年是第66個(gè)年頭?;赝?6年的發(fā)展,從無(wú)到有、從小到大,半導(dǎo)體產(chǎn)業(yè)經(jīng)歷了風(fēng)雨坎坷同時(shí)又迸發(fā)出無(wú)限的生機(jī)。

  在中國(guó)“十四五”提出數(shù)字經(jīng)濟(jì)發(fā)展規(guī)劃,瞄準(zhǔn)集成電路等戰(zhàn)略性領(lǐng)域之際,半導(dǎo)體產(chǎn)業(yè)縱橫推出“國(guó)產(chǎn)化進(jìn)程”系列專題,講述當(dāng)今中國(guó)半導(dǎo)體各領(lǐng)域發(fā)展進(jìn)程,解析國(guó)產(chǎn)化最新態(tài)勢(shì)。本期為“國(guó)產(chǎn)化進(jìn)程”專題半導(dǎo)體產(chǎn)業(yè)鏈篇第二篇文章:半導(dǎo)體設(shè)備。

  全球芯片供不應(yīng)求的局面,使晶圓廠產(chǎn)能成為了香餑餑,相應(yīng)的商機(jī)也在向產(chǎn)業(yè)鏈上游傳導(dǎo),特別是半導(dǎo)體設(shè)備,營(yíng)收屢創(chuàng)新高。據(jù)SEMI統(tǒng)計(jì),2022年,全球前端晶圓廠設(shè)備支出總額將較前一年增長(zhǎng)18%,達(dá)到1070億美元的歷史新高,這已經(jīng)是連續(xù)三年大幅增長(zhǎng)。SEMI指出,晶圓代工廠將是2022、2023年設(shè)備支出的大戶,約占全球總支出的50%,其次是存儲(chǔ),約占35%。

  水漲船高,半導(dǎo)體設(shè)備商的產(chǎn)能也隨之提升,SEMI的數(shù)據(jù)顯示,全球設(shè)備業(yè)產(chǎn)能連年增長(zhǎng),2021年提升7%后,今年將增長(zhǎng)8%,2023年估計(jì)也會(huì)有6%的增幅。

  作為全球半導(dǎo)體設(shè)備的主要消費(fèi)市場(chǎng),中國(guó)大陸在2020年的銷售額為187.2億美元,同比增長(zhǎng)39.2%,占全球市場(chǎng)的26.3%,首次成為全球最大的半導(dǎo)體設(shè)備消費(fèi)市場(chǎng)。按照這樣的發(fā)展勢(shì)頭,預(yù)計(jì)中國(guó)大陸半導(dǎo)體設(shè)備銷售額全球占比有望從 2021 年的28%提升到2023年的32%。由此測(cè)算,2021年中國(guó)大陸半導(dǎo)體設(shè)備銷售額約為287.8億美元,同比增長(zhǎng)53.7%,2022年有望達(dá)到343億美元,同比增長(zhǎng)19.2%。

  全球格局

  半導(dǎo)體設(shè)備可分為晶圓制造(前道設(shè)備)和封裝、測(cè)試設(shè)備(后道設(shè)備)。前道設(shè)備主要包括光刻機(jī)、刻蝕機(jī)、薄膜沉積、熱處理設(shè)備、離子注入機(jī)、CMP(化學(xué)機(jī)械研磨)設(shè)備、清洗機(jī)、前道檢測(cè)設(shè)備等。其中,薄膜沉積、光刻和刻蝕是前道晶圓制造的三大核心工藝。

  在全球半導(dǎo)設(shè)備產(chǎn)業(yè)結(jié)構(gòu)中,前道設(shè)備在總銷售額中的占比約為85%,后端測(cè)試設(shè)備約為9%,封裝設(shè)備約為6%,這三個(gè)數(shù)據(jù)每年會(huì)有所微調(diào),但變化不大,基本面是穩(wěn)定的。目前,全球前道設(shè)備市場(chǎng)主要由美日歐企業(yè)把持,幾家頭部設(shè)備大廠,如美國(guó)AMAT(應(yīng)用材料)占比約為17%,荷蘭ASML約為16.6%,日本TEL(東京電子)約為12.5%,美國(guó)Lam Research約為 11.2%,美國(guó)KLA約為6.3%,合計(jì)占比近64%。

  在各細(xì)分領(lǐng)域,依然呈現(xiàn)出寡頭壟斷的局面。

  光刻機(jī),特別是EUV主要由ASML一家壟斷,占據(jù)83%的市場(chǎng)份額;刻蝕市場(chǎng)主要由Lam、TEL和AMAT三家把持,份額分別為45%、28%和18%;離子注入市場(chǎng)主要由AMAT、Axcelis和SMIT三家把持;薄膜沉積方面,PVD(物理式真空鍍膜)市場(chǎng)主要由AMAT一家壟斷,市場(chǎng)份額達(dá)85%的,CVD(化學(xué)式真空鍍膜)市場(chǎng)主要被AMAT、Lam和 TEL把持,份額分別為30%、26%和17%;熱處理市場(chǎng)由AMAT、TEL和Kokusai把持;涂膠顯影/去膠市場(chǎng)主要由TEL一家壟斷,份額達(dá)91%;清洗設(shè)備市場(chǎng)則被SCREEN、TEL 和Lam三家壟斷。

  全球測(cè)試機(jī)市場(chǎng)被愛德萬(wàn)、泰瑞達(dá)和Lam壟斷,三家的市占率分別為50%,40%和8%;全球封裝設(shè)備市場(chǎng)主要由ASM Pacific、K&S、Shinkawa、Besi把持。

  中國(guó)半導(dǎo)體設(shè)備供給側(cè)亟待提升

  中國(guó)大陸是全球三大半導(dǎo)體設(shè)備消費(fèi)市場(chǎng)之一,然而,本土設(shè)備廠商的市占率卻很低。以2020年為例,國(guó)內(nèi)晶圓廠(包含三星、臺(tái)積電、SK海力士等國(guó)際大廠在中國(guó)大陸的晶圓廠)設(shè)備采購(gòu)總額約為154億美元,其中,國(guó)產(chǎn)設(shè)備采購(gòu)額僅為9.9億美元,占比僅7%,國(guó)產(chǎn)化率低、被國(guó)外巨頭壟斷的局面非常明顯。

  2008 年之前,我國(guó)半導(dǎo)體設(shè)備基本全靠進(jìn)口,因此,國(guó)家設(shè)立了國(guó)家科技重大專項(xiàng)——極大規(guī)模集成電路制造裝備及成套工藝科技項(xiàng)目(簡(jiǎn)稱02專項(xiàng))研發(fā)國(guó)產(chǎn)化設(shè)備。但是,由于設(shè)備制造對(duì)技術(shù)和資金需求要求比較高,只有北方華創(chuàng)、中微半導(dǎo)體、上海微電子等少數(shù)重點(diǎn)企業(yè)能夠承擔(dān) 02專項(xiàng)研發(fā)工作,整個(gè)行業(yè)集中度相對(duì)較高。雖然在02專項(xiàng)的支持下,我國(guó)半導(dǎo)體設(shè)備實(shí)現(xiàn)了從無(wú)到有,但相比國(guó)內(nèi)龐大的市場(chǎng)規(guī)模而言,自給率嚴(yán)重不足。

  即使在發(fā)展水平相對(duì)較高的 IC 封裝測(cè)試領(lǐng)域,我國(guó)與先進(jìn)國(guó)際水平相比仍然存在較大差距。而單晶爐、氧化爐、CVD 設(shè)備、磁控濺射鍍膜設(shè)備、CMP設(shè)備、光刻機(jī)、涂布/顯影設(shè)備、ICP等離子體刻蝕系統(tǒng)、探針臺(tái)等設(shè)備市場(chǎng)幾乎被國(guó)外企業(yè)所占據(jù)。

  目前,國(guó)產(chǎn)半導(dǎo)體設(shè)備處于局部有所突破,但整體較為落后的狀態(tài)。尤其與國(guó)際巨頭相比,本土設(shè)備企業(yè)的實(shí)力仍然偏弱,絕大部分企業(yè)無(wú)法達(dá)到國(guó)際上已經(jīng)實(shí)現(xiàn)量產(chǎn)的7nm工藝水平,部分企業(yè)突破到28nm或14nm工藝,但在使用的穩(wěn)定性上與國(guó)際巨頭差距較大,較難大批量進(jìn)入量產(chǎn)線,也較難進(jìn)入國(guó)際代工巨頭的生產(chǎn)線。

  半導(dǎo)體設(shè)備行業(yè)技術(shù)壁壘非常高,隨著制程越來(lái)越先進(jìn),對(duì)半導(dǎo)體設(shè)備的性能和穩(wěn)定性提出了越來(lái)越高的要求,需要投入大量的研發(fā)資金。應(yīng)用材料公司一直保持著在研發(fā)上的高投入,其30%的員工為專業(yè)研發(fā)人員,擁有近12000 項(xiàng)專利,平均每天申請(qǐng)4個(gè)以上的新專利。正是這種持續(xù)的高研發(fā)投入,促成了應(yīng)用材料的內(nèi)部創(chuàng)新,構(gòu)成了較高的技術(shù)壁壘。

  由于半導(dǎo)體設(shè)備研發(fā)周期長(zhǎng)、投入大。國(guó)產(chǎn)設(shè)備公司雖然在工藝制程上的研發(fā)已經(jīng)有所突破,但是與穩(wěn)定量產(chǎn)之間還有一定距離,非常關(guān)鍵的一點(diǎn)是要有試錯(cuò)機(jī)會(huì),試錯(cuò)的周期通常長(zhǎng)達(dá)一年甚至數(shù)年,而由于市場(chǎng)長(zhǎng)期被國(guó)際大廠占據(jù),國(guó)內(nèi)設(shè)備廠商很難得到應(yīng)用機(jī)會(huì)和發(fā)展空間。

  設(shè)備用零部件存在短板

  制造半導(dǎo)體設(shè)備需要多種零部件,半導(dǎo)體設(shè)備零部件及相關(guān)原材料市場(chǎng)規(guī)模也很可觀,2022 年有望超過300億美元。

  據(jù)VLSI統(tǒng)計(jì),半導(dǎo)體設(shè)備包括8類核心子系統(tǒng):氣液流量控制系統(tǒng)、真空系統(tǒng)、制程診斷系統(tǒng)、光學(xué)系統(tǒng)、電源及氣體反應(yīng)系統(tǒng)、熱管理系統(tǒng)、晶圓傳送系統(tǒng)、其它集成系統(tǒng)及關(guān)鍵組件,每個(gè)子系統(tǒng)都包含大量的零部件。

  目前來(lái)看,半導(dǎo)體設(shè)備零部件市場(chǎng)被美日歐企業(yè)把持,美國(guó)占44%,日本占33%,歐洲占21%,主要廠商包括MKS、ICHOR、UCT、AE、Ferrotec等。

  中國(guó)半導(dǎo)體設(shè)備零部件需要大量進(jìn)口,目前只有腔體、機(jī)架等機(jī)械類實(shí)現(xiàn)了國(guó)產(chǎn)化,而電器類、氣體輸送系統(tǒng)、真空系統(tǒng)、傳感器、儀器儀表、氣動(dòng)系統(tǒng)等零部件以進(jìn)口為主。在半導(dǎo)體晶圓制造流程中,閥類、密封圈、靜電吸盤、陶瓷類真空壓力計(jì)等零部件進(jìn)口份額較大。其中,閥類費(fèi)用約占耗材成本的10.6%,有較大的市場(chǎng)需求,但國(guó)內(nèi)供給側(cè)在該領(lǐng)域仍處于空白狀態(tài)。

  中國(guó)大陸半導(dǎo)體設(shè)備零部件供應(yīng)商中,排名前七的是:萬(wàn)業(yè)企業(yè),富創(chuàng)精密,新萊應(yīng)材,中科儀,江豐電子,華卓精科,神工股份。排名第一的萬(wàn)業(yè)企業(yè)2021年?duì)I收約為9.2億元人民幣,第二的富創(chuàng)精密約為8.4億元。

  那么,這些企業(yè)在全球同類廠商中處于怎樣的位置呢?以富創(chuàng)精密為例,該公司是全球?yàn)閿?shù)不多的能夠?yàn)?nm制程工藝設(shè)備批量提供精密零部件的廠商,該公司2021年?duì)I收超過1億美元,但相比全球排名第一的MKS的29.5億美元,差距很大,即使與全球排名第五的Ferrotec(約10億美元)相比,差距也不小。

  國(guó)產(chǎn)設(shè)備發(fā)展的契機(jī)和希望

  雖說中國(guó)大陸半導(dǎo)體設(shè)備廠商的技術(shù)和市占率還無(wú)法與國(guó)際大廠抗衡,但國(guó)內(nèi)巨大的市場(chǎng)需求,以及國(guó)家政策、資金的大力支持,再有,近些年國(guó)產(chǎn)替代意識(shí)和意愿的加強(qiáng),給本土半導(dǎo)體設(shè)備業(yè)的發(fā)展提供了強(qiáng)大動(dòng)力和樂觀的市場(chǎng)預(yù)期,并在多個(gè)設(shè)備領(lǐng)域取得了不俗的成績(jī)。

  首先看刻蝕設(shè)備。刻蝕工藝位于薄膜沉積和光刻之后,目的是利用化學(xué)、物理、光學(xué)反應(yīng)將晶圓表面附著的不必要的物質(zhì)去除,反復(fù)多次,最終得到構(gòu)造復(fù)雜的集成電路。國(guó)內(nèi)的刻蝕設(shè)備企業(yè)主要是中微半導(dǎo)體、北方華創(chuàng)、屹唐半導(dǎo)體和中電科。其中,中微半導(dǎo)體在 CCP刻蝕領(lǐng)域具備明顯優(yōu)勢(shì),在邏輯芯片制造方面,該公司的CCP刻蝕設(shè)備已經(jīng)進(jìn)入國(guó)際知名晶圓代工廠的先進(jìn)制程產(chǎn)線,用于7nm/5nm芯片的生產(chǎn);在3D NAND芯片制造方面,該公司的CCP刻蝕設(shè)備可應(yīng)用于64層堆疊芯片的量產(chǎn),并且正在開發(fā)96層及更先進(jìn)的刻蝕設(shè)備。

  光刻機(jī)方面,與國(guó)際高水平有很大差距,雖說上海微電子可以生產(chǎn)光刻機(jī)整機(jī),華卓精科和國(guó)科精密等可以生產(chǎn)光刻機(jī)零部件,但仍不具備EUV,以及先進(jìn)DUV設(shè)備的生產(chǎn)能力,能支持的最高制程工藝仍為90nm。

  薄膜沉積是一連串涉及原子的吸附、吸附原子在表面擴(kuò)散,以及在適當(dāng)?shù)奈恢孟戮劢Y(jié),以漸漸形成薄膜并成長(zhǎng)的過程,分為原子層沉積(ALD)、物理式真空鍍膜(PVD)、化學(xué)式真空鍍膜(CVD)等。我國(guó)的薄膜沉積設(shè)備代表廠商是北方華創(chuàng)和拓荊科技,其中,北方華創(chuàng)已實(shí)現(xiàn)PVD、CVD、ALD設(shè)備在28nm/14nm技術(shù)領(lǐng)域的突破。

  熱處理方面,主要包括氧化、擴(kuò)散和退火工藝。國(guó)內(nèi)的氧化擴(kuò)散設(shè)備生產(chǎn)商主要包括北方華創(chuàng)和屹唐半導(dǎo)體。近些年,北方華創(chuàng)市占率逐年上升,例如,截至2020年10月,北方華創(chuàng)熱處理設(shè)備在長(zhǎng)江存儲(chǔ)的占比已經(jīng)超過了30%。

  CMP是一種表面全局平坦化技術(shù),系統(tǒng)主要由拋光設(shè)備、拋光液和拋光墊三個(gè)部分組成。國(guó)內(nèi)CMP設(shè)備廠商主要有華海清科和北京爍科精微電子,華海清科是國(guó)內(nèi)唯一一家實(shí)現(xiàn)12英寸CMP設(shè)備量產(chǎn)的廠商。

  去膠設(shè)備方面,屹唐半導(dǎo)體在國(guó)內(nèi)占主導(dǎo)地位,此外,芯源微和中電科45所也可以生產(chǎn)去膠機(jī)。目前,我國(guó)去膠設(shè)備的國(guó)產(chǎn)率已經(jīng)超過90%,基本實(shí)現(xiàn)了國(guó)產(chǎn)替代。

  清洗步驟貫穿整個(gè)半導(dǎo)體生產(chǎn)流程,用于去除硅片制備、晶圓制造和封裝測(cè)試等步驟中可能存在的雜質(zhì),避免雜質(zhì)影響芯片良率和性能。國(guó)內(nèi)主要清洗設(shè)備廠商包括盛美、北方華創(chuàng)、芯源微和至純科技。

  在后道設(shè)備方面,國(guó)內(nèi)測(cè)試機(jī)廠商主要包括華峰測(cè)控和長(zhǎng)川科技,華峰測(cè)控在國(guó)內(nèi)模擬測(cè)試機(jī)市占率接近60%。封裝設(shè)備方面,國(guó)內(nèi)具備制造能力的企業(yè)主要有中電科45所、艾科瑞斯和大連佳峰。

  加大研發(fā)投入力度

  2021年,主要國(guó)產(chǎn)半導(dǎo)體設(shè)備廠商合計(jì)銷售額約為240億元人民幣,同比增長(zhǎng)56%,北方華創(chuàng)、中微半導(dǎo)體、盛美上海、華峰測(cè)控、長(zhǎng)川科技、芯源微的凈利潤(rùn)增速均顯著高于收入增速。北方華創(chuàng)、中微半導(dǎo)體、盛美上海等在原有成熟設(shè)備基礎(chǔ)上進(jìn)一步放量,萬(wàn)業(yè)企業(yè)旗下凱世通實(shí)現(xiàn)離子注入機(jī)0-1突破,芯源微多款涂膠顯影設(shè)備在客戶端進(jìn)展順利。

  目前,國(guó)產(chǎn)半導(dǎo)體設(shè)備廠商處于高速發(fā)展期,半導(dǎo)體設(shè)備的高技術(shù)壁壘屬性要求廠商持續(xù)投入大量資金用于研發(fā)。例如,北方華創(chuàng)2021年研發(fā)投入28.9億元,在國(guó)內(nèi)遙遙領(lǐng)先。拓荊科技研發(fā)投入占比38%,北方華創(chuàng)研發(fā)投入占比30%,中微半導(dǎo)體和長(zhǎng)川科技占比23%,都處于高強(qiáng)度研發(fā)投入階段。

  在半導(dǎo)體設(shè)備這個(gè)技術(shù)和資金密集的重資產(chǎn)領(lǐng)域,高投入才能有高產(chǎn)出,引擎加速后,相信國(guó)產(chǎn)設(shè)備能夠在相對(duì)短的時(shí)間內(nèi),邁上一個(gè)新臺(tái)階。

中傳動(dòng)網(wǎng)版權(quán)與免責(zé)聲明:

凡本網(wǎng)注明[來(lái)源:中國(guó)傳動(dòng)網(wǎng)]的所有文字、圖片、音視和視頻文件,版權(quán)均為中國(guó)傳動(dòng)網(wǎng)(www.treenowplaneincome.com)獨(dú)家所有。如需轉(zhuǎn)載請(qǐng)與0755-82949061聯(lián)系。任何媒體、網(wǎng)站或個(gè)人轉(zhuǎn)載使用時(shí)須注明來(lái)源“中國(guó)傳動(dòng)網(wǎng)”,違反者本網(wǎng)將追究其法律責(zé)任。

本網(wǎng)轉(zhuǎn)載并注明其他來(lái)源的稿件,均來(lái)自互聯(lián)網(wǎng)或業(yè)內(nèi)投稿人士,版權(quán)屬于原版權(quán)人。轉(zhuǎn)載請(qǐng)保留稿件來(lái)源及作者,禁止擅自篡改,違者自負(fù)版權(quán)法律責(zé)任。

如涉及作品內(nèi)容、版權(quán)等問題,請(qǐng)?jiān)谧髌钒l(fā)表之日起一周內(nèi)與本網(wǎng)聯(lián)系,否則視為放棄相關(guān)權(quán)利。

關(guān)注伺服與運(yùn)動(dòng)控制公眾號(hào)獲取更多資訊

關(guān)注直驅(qū)與傳動(dòng)公眾號(hào)獲取更多資訊

關(guān)注中國(guó)傳動(dòng)網(wǎng)公眾號(hào)獲取更多資訊

最新新聞
查看更多資訊

娓娓工業(yè)

廣州金升陽(yáng)科技有限公司

熱搜詞
  • 運(yùn)動(dòng)控制
  • 伺服系統(tǒng)
  • 機(jī)器視覺
  • 機(jī)械傳動(dòng)
  • 編碼器
  • 直驅(qū)系統(tǒng)
  • 工業(yè)電源
  • 電力電子
  • 工業(yè)互聯(lián)
  • 高壓變頻器
  • 中低壓變頻器
  • 傳感器
  • 人機(jī)界面
  • PLC
  • 電氣聯(lián)接
  • 工業(yè)機(jī)器人
  • 低壓電器
  • 機(jī)柜
回頂部
點(diǎn)贊 0
取消 0