技術頻道

娓娓工業(yè)
您現在的位置: 中國傳動網 > 技術頻道 > 技術百科 > 基于MATLAB的模糊控制器的仿真研究

基于MATLAB的模糊控制器的仿真研究

時間:2008-01-22 16:02:00來源:shixi

導語:?用模糊控制器來實現對一階線性時滯系統(tǒng)的控制,應用模糊條件句與模糊控制規(guī)則,對模糊集合的隸屬度函數的具體描述,把被控對象作用后的結果用Matlab提供的模糊系統(tǒng)工具箱的圖形用戶界面工具
摘要:本文介紹了用模糊控制器來實現對一階線性時滯系統(tǒng)的控制,應用模糊條件句與模糊控制規(guī)則,對模糊集合的隸屬度函數的具體描述,把被控對象作用后的結果用Matlab提供的模糊系統(tǒng)工具箱的圖形用戶界面工具,在Simulink環(huán)境下進行了系統(tǒng)仿真。 關鍵詞:Matlab 模糊控制器 仿真 一、 引言 對于具有延遲的一階線性系統(tǒng)的階躍響應,在工業(yè)控制中具有相當廣泛的應用。其特性就是在初始時有相當一段時間的滯后,然后單調上升,最后達到穩(wěn)態(tài)。而工業(yè)生產中的動態(tài)控制不僅要求系統(tǒng)具有一定的穩(wěn)定性,還要求系統(tǒng)達到穩(wěn)態(tài)具有一定的快速性。因此,對于一階線性時滯系統(tǒng)來說,有時就達不到快速性的要求。用模糊控制器來實現對一階線性時滯系統(tǒng)的控制就大大改進了原系統(tǒng)的控制效果,在一定程度上完全滿足了系統(tǒng)的快速性的要求。用Matlab仿真的結果則更清楚的顯示出改進的控制效果。 二、模糊控制器的基本結構和組成
模糊控制器主要由以下四部分組成:模糊化、模糊推理、清晰化和知識庫。模糊化的作用是將輸入的精確量轉換成模糊化量,并用相應的模糊集合來表示。模糊推理是模糊控制器的核心,它具有模擬人的基于模糊概念的推理能力。該推理過程是基于模糊邏輯中的蘊涵關系及推理規(guī)則來進行的。清晰化的作用是將模糊推理得到的控制量變換為實際用于控制的清晰量。知識庫中包含了具體應用領域的知識和要求的控制目標。它通常由數據庫和模糊控制規(guī)則庫兩部分組成。在模糊控制中,一般通過用一組語言描述的規(guī)則來表示專家的知識,專家知識通常具有如下的形式:IF(滿族一組條件)THEN(可以推出一組結論)。 當論域為離散量時,經過量化后的輸入量個數是有限的??梢葬槍斎肭闆r的不同組合離線計算出相應的控制量,從而組成一張控制表,能夠減少在線的運算量。這種模糊控制方法很容易滿足實時控制的要求。在這種模糊控制結構中,通常用誤差e和誤差的導數de/dt作為模糊控制器的輸入量。如圖2所示:
三、模糊控制器的設計: 設控制系統(tǒng)的輸入為單位階躍信號r,輸出為y,誤差為e,誤差導數為de;被控對象的輸入為u;模糊控制器與e,de對應的輸入分別為e1,de1,與u對應的輸出為u1。e1,de1,u1的論域取[-6,+6],語言值取5個,分別為“負大NB”,“負小NS”,“零ZR”,“正小PS”和“正大PB”。NB,NS,PS,PB取梯形隸屬度函數,ZR取三角形隸屬度函數。el ,del ,u1 的隸屬度函數如圖3所示。
根據經驗,可以得到用“if 。。。。 then 。。。。 "形式表達的模糊控制規(guī)則: 1. If (e l is NB) and (de l is NB) then (u l is NB) 2. If (e l is NB) and (de l is NS) then (u l is NS) 3. If (e l is NB) and (de l is ZR) then (u l is NS) ........................ 23. If (e l is PB) and (de l is ZR) then (u l is PS) 24. If (e l is PB) and (de l is PS) then (u l is PB) 25. If (e l is PB) and (de l is PB) then (u l is PB) 以上模糊控制規(guī)則共計25條,這些控制規(guī)則可以總結歸納成表。
四、模糊控制器的仿真模型 這里取被控對象為:
在MATLAB的命令窗口輸入命令Fuzzy,進入圖形用戶界面(GUI)窗口。根據上述隸屬度函數和控制規(guī)則,利用模糊推理系統(tǒng)(FIS )編輯器可以建立一個FIS文件,取名為flc.fis。這里模糊推理及其非模糊化方法采用MIN-MAX一重心法,即有名的Mamdani推理法在SIMULINK環(huán)境中,用鼠標將相應模塊拖入窗口中,連接好便得到圖5所示的模糊控制系統(tǒng)仿真模型(見最后頁)。 這里模糊控制器的結構變量取flc,誤差的量化因子Ke取6,誤差變化的量化因子Kc取0.5,控制輸出的比例因子Ku取0.4。限幅器1和限幅器2的限幅范圍是[-6, 6],其作用是把控制系統(tǒng)的誤差及誤差導數由基本論域變換到模糊控制器輸入變量的論域。假設被控對象允許的最大輸入是士20,因而在被控對象的前面設置了限幅器3,其限幅范圍是[-20, 20]。利用仿真參數對話框,可以設置相關的仿真參數。這里仿真時間設置為15秒,采樣周期設置為0.01秒。 五、系統(tǒng)的仿真 整個系統(tǒng)在Simulink環(huán)境下所搭建的結構圖4如下:
在MATLAB的命令窗口中輸入指令:flc=readfis(’flc.fis‘)這樣就在基本工作空間中建立起了模糊推理系統(tǒng)的結構變量flc。,然后再輸入指令:start,仿真即開始。這時可以利用模擬示波器來觀察系統(tǒng)的動態(tài)響應情況。仿真結束后,可以利用plot(t ,y)指令將響應曲線繪出,如圖5所示。
圖5中,u為控制量(黃色),f1為原來未加模糊控制器控制的曲線(綠色),f2為加了模糊控制器以后的響應曲線(紫色)。 六、結論 由圖5可以看出,原系統(tǒng)調節(jié)時間大約為14秒,而用模糊控制器控制后調節(jié)時間為大約6秒??梢姡m然用模糊控制器控制后系統(tǒng)略有超調,但它所存在的這種微小超調是在系統(tǒng)穩(wěn)定所允許范圍之內的,而用模糊控制器控制卻大大縮短了調節(jié)時間,從而提高了系統(tǒng)的特性。 參考文獻 1. 孫增圻,張再興,鄧志東。 智能控制理論與技術 清華大學出版社 2004年2月 2. 歐陽黎明 MATLAB控制系統(tǒng)設計 國防工業(yè)出版社 2001年9月

標簽:

點贊

分享到:

上一篇:變速箱超越離合器淺析

下一篇:微能WIN-V63矢量控制變頻器在...

中國傳動網版權與免責聲明:凡本網注明[來源:中國傳動網]的所有文字、圖片、音視和視頻文件,版權均為中國傳動網(www.treenowplaneincome.com)獨家所有。如需轉載請與0755-82949061聯(lián)系。任何媒體、網站或個人轉載使用時須注明來源“中國傳動網”,違反者本網將追究其法律責任。

本網轉載并注明其他來源的稿件,均來自互聯(lián)網或業(yè)內投稿人士,版權屬于原版權人。轉載請保留稿件來源及作者,禁止擅自篡改,違者自負版權法律責任。

網站簡介|會員服務|聯(lián)系方式|幫助信息|版權信息|網站地圖|友情鏈接|法律支持|意見反饋|sitemap

中國傳動網-工業(yè)自動化與智能制造的全媒體“互聯(lián)網+”創(chuàng)新服務平臺

網站客服服務咨詢采購咨詢媒體合作

Chuandong.com Copyright ?2005 - 2024 ,All Rights Reserved 版權所有 粵ICP備 14004826號 | 營業(yè)執(zhí)照證書 | 不良信息舉報中心 | 粵公網安備 44030402000946號